在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2277|回复: 2

[原创] 关于UART中数据合并与分解的问题

[复制链接]
发表于 2010-8-25 22:50:51 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
从串口接收模块rxcver接收串行数据,转换成8位(为一帧)的并行数据,把每两帧数据组合成16位的数据rxdata,输出到另一控制模块ctrl,同时,控制模块ctrl产生一个24位的数据,发送给串口发送模块txmit发送出去,txmit模块需要对24位数据分解成3个8位数据输出。

有两个操作:在rxcver模块,需要对接收到的每2个8位数据组合成一个16位的数据。
                 在txmit模块,需要对接收到的24位数据分解成3个8位数据,依次发送出去。

利用verilog编程实现。请各位提提意见。
发表于 2010-8-26 08:56:00 | 显示全部楼层
用状态机来实现是可以的
 楼主| 发表于 2010-8-26 09:18:42 | 显示全部楼层
能说说你的思路吗?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 04:35 , Processed in 0.278565 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表