在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6625|回复: 16

[求助] 請問做PrimeTime分析power時, 要準備哪些檔案?

[复制链接]
发表于 2010-7-6 23:31:05 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
已做好, Design Compiler及 IC Compiler之後
想用 Prime Time 做 power consumption分析,
請問 要準備哪些檔案 ?
发表于 2010-7-7 10:09:46 | 显示全部楼层
??? Primetime是时序解析工具。
发表于 2010-7-7 10:39:07 | 显示全部楼层
论坛上有primetime PX的使用手册,楼主搜一下吧
发表于 2010-7-7 14:03:06 | 显示全部楼层
没做过,期待高手
发表于 2010-7-8 10:30:46 | 显示全部楼层
PrimeTime-PX用于静态和动态的功耗分析,以此分析结果送给primerail做IR-drop和EM分析
发表于 2010-7-8 10:32:47 | 显示全部楼层
你把synopsys的这两个工具的workshop学习一下,就知道怎么做了。
发表于 2010-7-8 18:09:34 | 显示全部楼层
1# leesg
读入sdc文件和spef文件
还有就是仿真的vcd或者saif文件
发表于 2010-7-8 21:22:57 | 显示全部楼层
7# hitten
首先仿真,产生你需要评估的工作模式和时间段的saif文件(vcd也可以转换为saif),在ptpx中读入spef、saif、post layout。
发表于 2010-7-9 22:20:15 | 显示全部楼层
学习中,没做过这个
头像被屏蔽
发表于 2010-7-14 16:33:50 | 显示全部楼层
提示: 作者被禁止或删除 内容自动屏蔽
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 13:35 , Processed in 0.033141 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表