在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 18067|回复: 17

[求助] ERROR:Pack:1107 - Unable to combine the following symbols into a single IOB

[复制链接]
发表于 2010-6-13 09:21:31 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
大家好,最近我在写一个数据储存的Vhdl代码,当我再给一个时钟管脚分配位置时,出现如下错误,弄了好半天也没弄明白,希望路过的高手能指点指点,谢谢啦!!!
ERRORack:1107 - Unable to combine the following symbols into a single IOB
   component:
    PAD symbol "fosc_j" (Pad Signal = fosc_j)
    BUF symbol "fosc_j_IBUF" (Output Signal = fosc_j_IBUF)
   Each of the following constraints specifies an illegal physical site for a
   component of type IOB:
    Symbol "fosc_j" (LOC=P15)
   Please correct the constraints accordingly.
发表于 2010-6-13 11:17:02 | 显示全部楼层
时钟管脚,应该对应相应BANK里的专用时钟IOB吧?LZ是不是赋给普通的数据IOB了,
并且如果你是单端信号,最好给差分管脚的P端,
 楼主| 发表于 2010-6-13 16:11:40 | 显示全部楼层
2# eaglelsb
呵呵,我是赋给时钟管脚的啊,且片上所有时钟管脚我都试过,都一直出现这问题,很是让人郁闷啊!!
发表于 2010-6-14 12:06:54 | 显示全部楼层
可能是差分信号没有使用IBUFDS或IBUFGDS的原因
发表于 2010-8-18 17:17:59 | 显示全部楼层
同问!!!!!!
发表于 2010-8-19 09:01:09 | 显示全部楼层
本帖最后由 falloutmx 于 2010-8-19 09:02 编辑

发你的UCF和代码中声明这个信号和第一次使用这个信号的代码来看看
 楼主| 发表于 2010-8-19 11:29:19 | 显示全部楼层
6# falloutmx
呵呵,这个问题解决了,在时钟信号输入端假如哥缓冲就行了,不知道为什么加缓冲器就行了,能解释解释么
发表于 2010-8-19 11:37:44 | 显示全部楼层
你是不是时钟进来给DCM了?
发表于 2010-8-19 11:39:21 | 显示全部楼层
本帖最后由 falloutmx 于 2010-8-19 12:00 编辑

ISE里有个IOB的选项,我估计选了这个你就可以不插入BUF了
发表于 2011-6-15 17:01:09 | 显示全部楼层
我最近也遇到了这个问题,时钟信号是从Bank114差分引进来的,我用的是IBUFDS变为了单端,出现了这个错误,楼主具体是怎么解决的啊?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 13:02 , Processed in 0.033645 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表