在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4179|回复: 4

[求助] 求助各位大神,一个QUARTUS II运行的VHDL的错误信息

[复制链接]
发表于 2010-6-1 13:58:44 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 lyqq61262357 于 2010-6-1 14:03 编辑

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_signed.all;
use ieee.std_logic_arith.all;
entity songer is
       port(clk1mhz:in std_logic;
            mode:in std_logic;
            previous:in std_logic;
            show: out std_logic;
            cat: out std_logic_vector(6 downto 0);
            Nextt:in std_logic;
            Stop:in std_logic;
            Spks: out std_logic;
            play:in std_logic);
end;

architecture one of songer is
component NoteTabs
          port(  clk1:in std_logic;
     mode:in std_logic;
     previous:in std_logic;
     Nextt:in std_logic;
     play:in std_logic;
     random:in std_logic;
     show: out std_logic;
     cat: out std_logic_vector(6 downto 0);
     Toneindex: out integer range 0 to 21);
     
end component;

component ToneTaba
        port(  index:in integer range 0 to 21;
                  caideng: out std_logic_vector(6 downto 0);
                  Tone: out integer range 0 to 16#7FF#);
end component;

component Speakera
     port(  clk:in std_logic;
            Tone:in integer range 0 to 16#7FF#;
            Spks: out std_logic);
end component;

signal Time:std_logic;
variable c:integer range 0 to 124999;
CONSTANT LENGTH: POSITIVE:=8;
CONSTANT TAP1: POSITIVE:=8;
CONSTANT TAP2: POSITIVE:=4;
SIGNAL PRBS:STD_LOGIC;
SIGNAL PRREG:STD_LOGIC_VECTOR(LENGTH DOWNTO 0);

begin
process(clk1mhz)
  begin
   if clk1mhz'event and clk1mhz='1'then
    if c=124999 then
     Time<='1';
     c:=0;
    else
     Time<='0';
     c:=c+1;
    end if;
   end if;
  end process;
process(Time,STOP)
begin
  if STOP='1'then
   prreg<=(others=>'0');
   prreg(0)<='1';
  elsif Time'event and Time='1'then
   prreg<=(prreg((length-1)downto 0)&(prreg(tap1)xor prreg(tap2)));
  end if;
    end process;

prbs<=prreg(length);

u1:NoteTabs port map(clk1=>Time,mode=>mode,show=>show,Toneindex=>index,
      random => PRBS,cat=>cat,play=>play,Nextt=>Nextt,previous=>previous);
u2:ToneTaba port map(index=>Toneindex,Tone=>Tone);
u3:Speakera port map(clk=>clock1mhz,Tone=>Tone,Spks=>Spks);
end;              

错误信息如下:
Error (10482): VHDL error at songer.vhd(77): object "index" is used but not declared                  





 楼主| 发表于 2010-6-1 15:00:51 | 显示全部楼层
顶一下
 楼主| 发表于 2010-6-1 15:31:40 | 显示全部楼层
再顶一下
 楼主| 发表于 2010-6-1 16:27:42 | 显示全部楼层
再顶一下
发表于 2010-6-2 12:36:37 | 显示全部楼层
variable的变量必须在process里定义,这里就是你的c
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条


小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-11-15 08:44 , Processed in 0.027872 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表