在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2200|回复: 0

请教关于乘法器结果如何正确提取的问题

[复制链接]
发表于 2006-9-9 20:29:40 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
QUOTE:
原帖由 serene 于 2004-5-26 09:41 发表
前面的stg1_butterfly要用到乘法器,这个还比较简单,multiplier.vhd可以是:
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity multiplier is
  generic(multiplier_width       : ...
以上是那个做FFT的高手写的乘法器,我在Quartus2中单独试验了一下,那个输出的结果乱七八糟的.请问如何将正确结果提取出来呢?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条


小黑屋| 手机版| 关于我们| 联系我们| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2025-7-13 03:52 , Processed in 0.015841 second(s), 10 queries , Gzip On, MemCached On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表