在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: lovemei

[求助] verilog如何获取系统时间做为随机数的种子

[复制链接]
发表于 2010-7-19 17:05:51 | 显示全部楼层
我原来也是通过脚本获得系统时间,然后通过+seed给VCS
发表于 2011-5-21 18:12:46 | 显示全部楼层
学习~~~
发表于 2011-5-21 21:43:01 | 显示全部楼层
还可以把输出LOG带个种子号,如tc1_0521214022.run_log
发表于 2016-11-25 10:47:30 | 显示全部楼层
回复 3# lovemei


   请问怎么用seed获取系统时间?谢谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 22:40 , Processed in 0.013623 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表