在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7320|回复: 7

create_generated_clock命令的使用?

[复制链接]
发表于 2006-9-8 09:36:20 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
create_generated_clock命令的使用?

小弟有一问题请教: create_generated_clock 命令里如果我想使用 -add  -master_clock那些属性来描述generated clock的源时钟超过1个的情况, 完整的语法应该是怎么写呢
假设 clk_gen 可能来自3个时钟clk1, clk2, clk3 的二分频(即clk1, clk2, clk3先Mux让后二分频), 完整的命令应该怎么描述呢. 谢谢
发表于 2006-9-9 11:00:47 | 显示全部楼层
create_generated_clock其实是用来告诉DC或者PT如何计算后面的时钟周期,tool不会根据逻辑来计算分频数,
所以最好用case_analysis来指定是从mux的那个输入端走路径,这样PT就可以正确的计算延迟。
发表于 2006-9-9 11:01:41 | 显示全部楼层
一次性定义3个generated clock 应该是不可能。
发表于 2010-7-9 15:45:07 | 显示全部楼层
同意2楼一间
发表于 2022-9-23 17:13:50 | 显示全部楼层
顶一下
发表于 2022-9-26 09:21:25 | 显示全部楼层
create_generated_clock -edges {1 3 5} -name clk_gen_from_clk1 [get_pins xxx_clk_gen] -source [get_pins xxx_clk1] -master_clock clk1 -add
create_generated_clock -edges {1 3 5} -name clk_gen_from_clk2 [get_pins xxx_clk_gen] -source [get_pins xxx_clk2] -master_clock clk2 -add
create_generated_clock -edges {1 3 5} -name clk_gen_from_clk3 [get_pins xxx_clk_gen] -source [get_pins xxx_clk3] -master_clock clk3 -add
xxx_clk_gen,xxx_clk1/2/3要根据你的设计自己找对应的pin。
其实就是create_generated_clock按照平时的写法,但要增加-add即可。另外 clk_gen_from_clk1/2/3,要根据情况设置set_clock_group,避免工具乱分析,如果是clk1/2/3是mux结构,就相当于3个不能同时出现,可以考虑设置为physical_exclusive。
发表于 2023-12-8 11:33:12 | 显示全部楼层
顶一下
发表于 2023-12-8 15:36:06 | 显示全部楼层


coolbear2021 发表于 2022-9-26 09:21
create_generated_clock -edges {1 3 5} -name clk_gen_from_clk1 [get_pins xxx_clk_gen] -source [get_pi ...


这样是否会增加tool的负担,runtime变得很长?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-8 08:54 , Processed in 0.026189 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表