在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2826|回复: 2

xilinx pci32 logic core question????

[复制链接]
发表于 2005-2-5 13:18:57 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我用ISE63综合时
发现它不支持
primitice hshsh(******,******,******,*****)
input   *******,*****;
output  ******,******;
table
   # ********       ********          8888888  : *******
     ..
.
.
.
endtable
endprimitive
请问是什么原因啊?
例:
//    Xilinx Proprietary Primitive Cell X_FF for verilog
//
// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/versclibs/data/Attic/X_FF.v,v 1.25.2.4 2003/12/10 18:44:36 wloo Exp $
//
`timescale 1 ps/1 ps

module X_FF (O, CE, CLK, I, RST, SET);
  parameter INIT = 1'b0;
  output O;
  input CE, CLK, I, RST, SET;
  wire ni, nrst, nset, in_out;
  wire in_clk_enable, ce_clk_enable, rst_clk_enable, set_clk_enable;
  reg notifier;
  not (ni, I);
  not (nrst, RST);
  not (nset, SET);
  xor (in_out, I, O);
  and (in_clk_enable, nrst, nset, CE);
  and (ce_clk_enable, nrst, nset, in_out);
  and (rst_clk_enable, CE, I);
  and (set_clk_enable, CE, nrst, ni);
  ffsrce (O, CLK, I, CE, SET, RST, notifier);
  specify
(CLK => O) = (100:100:100, 100:100:100);
(SET => O) = (0:0:0, 0:0:0);
(RST => O) = (0:0:0, 0:0:0);
$setuphold (posedge CLK, posedge CE &&& (ce_clk_enable!=0), 0:0:0, 0:0:0, notifier);
$setuphold (posedge CLK, negedge CE &&& (ce_clk_enable!=0), 0:0:0, 0:0:0, notifier);
$setuphold (posedge CLK, posedge I &&& (in_clk_enable!=0), 0:0:0, 0:0:0, notifier);
$setuphold (posedge CLK, negedge I &&& (in_clk_enable!=0), 0:0:0, 0:0:0, notifier);
$recrem (negedge RST, posedge CLK &&& (rst_clk_enable!=0), 0:0:0, 0:0:0, notifier);
$recrem (negedge SET, posedge CLK &&& (set_clk_enable!=0), 0:0:0, 0:0:0, notifier);
$period (posedge CLK &&& CE, 0:0:0, notifier);
$width (posedge RST, 0:0:0, 0, notifier);
$width (posedge SET, 0:0:0, 0, notifier);
specparam PATHPULSE$ = 0;
  endspecify
endmodule

primitive ffsrce (q, clk, d, ce, set, rst, notifier);
  output q; reg q;
  input clk, d, ce, set, rst, notifier;
  table
    //   clk    d     ce   set   rst   notifier    q     q+;
          ?     ?      ?    1     0       ?    :   ?  :  1;
          ?     ?      ?    ?     1       ?    :   ?  :  0;
         (01)   0      1    0     0       ?    :   ?  :  0;
         (01)   1      1    0     0       ?    :   ?  :  1;
         (01)   x      1    0     0       ?    :   ?  :  x;
         (01)   0      x    0     0       ?    :   0  :  0;
         (01)   1      x    0     0       ?    :   1  :  1;
         (??)   ?      0    ?     ?       ?    :   ?  :  -;
         (1?)   ?      ?    ?     ?       ?    :   ?  :  -;
         (?0)   ?      ?    ?     ?       ?    :   ?  :  -;
         (01)   0      1    0     x       ?    :   ?  :  0;
         (01)   1      1    x     0       ?    :   ?  :  1;
          ?     ?      ?    0    (?x)     ?    :   0  :  0;
          ?     ?      ?   (?x)   0       ?    :   1  :  1;
         (?1)   1      ?    ?     0       ?    :   1  :  1;
         (?1)   0      ?    0     ?       ?    :   0  :  0;
         (0?)   1      ?    ?     0       ?    :   1  :  1;
         (0?)   0      ?    0     ?       ?    :   0  :  0;
          ?    (??)    ?    ?     ?       ?    :   ?  :  -;
          ?     ?    (??)   ?     ?       ?    :   ?  :  -;
          ?     ?      ?   (?0)   ?       ?    :   ?  :  -;
          ?     ?      ?    x    (?0)     ?    :   ?  :  x;
          ?     ?      ?    0    (?0)     ?    :   ?  :  -;
          ?     ?      ?    ?     ?       *    :   ?  :  x;
  endtable
endprimitive

发表于 2005-3-6 18:47:10 | 显示全部楼层

xilinx pci32 logic core question????

这位大哥,能否将你用得IPcore发给我一份,我目前也需要再vertex2上面做一个PCI但是没有钱去买license麻烦你帮帮忙!谢谢!
发表于 2005-3-7 20:25:03 | 显示全部楼层

xilinx pci32 logic core question????

我也需要一个,也送我一个吧!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 20:30 , Processed in 0.023668 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表