在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 8866|回复: 4

[求助] pll时序如何用timequest处理

[复制链接]
发表于 2010-4-16 20:08:00 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
这张图是我工程编译以后的timequest中的setup的报告
我已经约束了输入时钟clk 和pll
sdc文件中已添加的代码如下:

create_clock -name {sysclk} -period 41.660 -waveform { 0.000 20.830 } [get_ports {CLK}]

#**************************************************************
# Create Generated Clock
#**************************************************************

derive_pll_clocks -use_tan_name

但还出现了附件图片中的问题
clk1按照设计要求 未给任何偏移量

请大侠们指教下 下面要怎么处理 才能让clk[1]的slack为正?
111111111.png
发表于 2011-2-21 13:58:57 | 显示全部楼层
slack出现负的话就一定无法通过时序要求吗?
发表于 2011-5-11 15:31:20 | 显示全部楼层
PLL生成的时钟之间有没有关联性。有的话可以用set_multicycle_path 试试!
发表于 2011-5-11 15:40:39 | 显示全部楼层
回复 3# pausebreak


    如果是时钟之间没有关联性的话,直接设置成false_path就可以了,timequest就不会分析了

    Timequest默认是把设计中的所有时钟当成有关系的,如果两个时钟域间没有数据传递,是需要自己把路径剪除掉的。
发表于 2011-7-5 11:04:01 | 显示全部楼层
写sdc的时候,有以下严重警告:
Critical Warning: From altera_reserved_tck (Rise) to altera_reserved_tck (Rise) (setup and hold)
怎么解决呢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-9 22:21 , Processed in 0.036552 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表