在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2812|回复: 5

编译问题!

[复制链接]
发表于 2005-1-13 11:00:08 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我对我的Vhdl源码进行编译时,一切正常,但当我加上"Design Doctor"选项后,出来一大堆的警告,不知在这些警告中,哪些会对我的设计造成直接的影响,哪些警告我是可以忽略的呢,我该去怎样去辨别,有没有什么好的方法呢?请各位大侠指教,谢谢了!
发表于 2005-1-13 16:45:48 | 显示全部楼层

编译问题!

你是用altera的软件吧?说具体一点,报的是什么问题。有些可能有影响,视情况而定
 楼主| 发表于 2005-1-13 19:41:31 | 显示全部楼层

编译问题!

是的,我用的是Max+PlusII.以下就是Warning的内容:
Design Doctor Warning: Flipflop or synchronous memory'cnt0' receives data that is synchronized by another Clock at flipflop or synchronous memory 'qd'
Design Doctor Warning: Flipflop or synchronous memory'cnt1' receives data that is synchronized by another Clock at flipflop or synchronous memory 'qd'
Design Doctor Warning: Logic that drives primitive 'act_2d_s1' contains a static 1 hazard when 'd2d3'=0,'rdwr'=0,''cnt14'=1,'cnt13'=1,'cnt12'=1,'cnt11'=1,'cnt10'=1,'cnt9'=1,'cnt8'=1,'cnt7'=1,'cnt6'=1,'cnt5'=1,'cnt4'=1,'cnt3'=1,
'cnt2'=1,'cnt1'=1,'cnt0'=1 and primitive 'cnt15' changes-hazard found before logic synthesis
Design Doctor Warning: Logic that drives primitive 'act_2d_s1' contains a static 1 hazard when 'd2d3'=0,'rdwr'=0,''cnt15'=1,'cnt13'=1,'cnt12'=1,'cnt11'=1,'cnt10'=1,'cnt9'=1,'cnt8'=1,'cnt7'=1,'cnt6'=1,'cnt5'=1,'cnt4'=1,'cnt3'=1,
'cnt2'=1,'cnt1'=1,'cnt0'=1 and primitive 'cnt14' changes-hazard found before logic synthesis
Warning: GLOBAL primitive on node 'hd' feeds logic-non-global signal usage may result
不知这是如何产生,又该如何解决?还请版主赐教,谢谢了!
发表于 2005-1-13 21:11:44 | 显示全部楼层

编译问题!

第1,2个是说你的寄存器跨了两个时钟域,这个要看你两个时钟的频率和相位关系,有出问题的可能。
第3,4说的是你的逻辑在某些情况下会有冲突,上面列出了可能的情况,你自己对照查一下代码里面是不是存在,或要不要紧。
第5,你的全局时钟或者清零脚作为普通逻辑来用了,就是杀鸡用了牛刀的意思,一般来说不会有问题。
 楼主| 发表于 2005-1-14 09:33:26 | 显示全部楼层

编译问题!

多谢版主了!我在这方面是刚入门的的新手,以后还请版主多多赐教.再谢!
发表于 2005-1-14 09:40:55 | 显示全部楼层

编译问题!

不客气,常来玩,呵呵
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-6 06:06 , Processed in 0.028504 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表