在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2622|回复: 9

[求助] 关于时钟的奇数分频

[复制链接]
发表于 2010-3-30 09:54:07 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
通常都是通过两个不同倍数的对上升下降沿分别触发,然后叠加进行的奇数分频。我想问下,为什么不用一个信号做呢?比如说3分频,可以由上升下降沿触发,数到3就电平转换,也可以仿真得到正确结果,但好像都不这样做。这是为什么啊?是综合时会出现问题吗?
发表于 2010-3-30 10:03:31 | 显示全部楼层
触发器要么上升沿触发,要么下降沿触发。上升下降沿都触发的还没见到。
 楼主| 发表于 2010-3-30 18:12:19 | 显示全部楼层
发表于 2010-3-30 19:16:17 | 显示全部楼层
最基本的触发器。就是只能边沿触发。
发表于 2010-3-30 20:05:22 | 显示全部楼层
在fpga中一般不在同一时钟的上下边沿中对同一寄存器赋值
发表于 2010-3-30 22:05:16 | 显示全部楼层
这个东西就想智力题
可以用来考试
现实中很少这么整的
DCM ,PLL会解决这些问题的
 楼主| 发表于 2010-3-31 06:55:19 | 显示全部楼层
哦,是这样啊。谢谢楼上的各位了。
发表于 2010-3-31 12:09:02 | 显示全部楼层
你要明白,你写的是电路,不是c语言。
回去看看数字电路里,寄存器的结构吧
发表于 2010-3-31 17:43:58 | 显示全部楼层
看看基本的数字电子电路的书就知道了,基本的触发器不可能是双沿触发的。
你的代码可能能仿真对,可是没办法在真实的电路里面实现。
发表于 2010-4-2 18:03:57 | 显示全部楼层
这是工艺决定的,目前还没有能双沿触发的触发器
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 16:51 , Processed in 0.030964 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表