在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2063|回复: 0

[求助]关于编程!

[复制链接]
发表于 2005-1-7 15:47:39 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在Vhdl中,应该设置一个变量来表示一计数器数值的增加,而在后面我又要用到计数器的输出作为输入,并且希望其输出是以信号的形式出现的,该如何解决这个问题呢?而假如我用信号来表示计数器数值的增加,但信号又是不可加的.不知该怎么办,还望各位大侠施以援手,谢谢了!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-6 07:21 , Processed in 0.020535 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表