在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3834|回复: 3

[求助] FPGA接收数据时的问题

[复制链接]
发表于 2010-3-9 09:54:02 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在做边界扫描控制器的时候,遇到个问题,大概的意思就是:
数据的发送方是“被测芯片”,数据的个数(bit为单位)不确定,接收方就是FPGA,FPGA接过来后是要将数据暂时存放到8bit宽度的fifo里面。

问题是:在接收数据时,前面的还可以按8bit一次来存到FIFO里面,但到最后一次就很有可能不满足8bit了(就假设最后3bit),即使我存了3bit到FIFO里面,其余填零,但是上位机来读最后这1Byte的时候也无法区分哪几位才是有效的数据,不知道怎么能让上位机知道数据的位数呢?或者能有另外的方法来出来这个问题,谢谢!!
发表于 2010-3-10 17:28:29 | 显示全部楼层
这个要看结束标志或者自定的握手信号吧?
发表于 2010-3-10 17:35:01 | 显示全部楼层
既然最后一次的数据时无效的 为何要接收并存储?
二者之间应该是有传输协议的
发表于 2010-3-10 20:49:41 | 显示全部楼层
同意楼上的观点。一般异步通信都有规定的通信协议,发送与接收的数据会有相应的数据格式,建议楼主检查一下所使用的通信协议。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-22 07:08 , Processed in 0.033255 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表