在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2490|回复: 4

[原创] 求帮助

[复制链接]
发表于 2010-3-4 21:44:53 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位大侠,我想问一下:对于verilog中的原语进行modelsim仿真怎么输出是高阻态,比如就简单的NAND(OUT,A,B);
对A和B进行设初始值,可得到的OUT一直式高阻态,不知道为什么?
发表于 2010-3-5 09:26:31 | 显示全部楼层
别用原语这种东西了,直接写成 OUT = A&B;不就可以了吗
发表于 2010-3-5 09:27:55 | 显示全部楼层
你没有设对呗
 楼主| 发表于 2010-3-5 14:13:22 | 显示全部楼层
呵呵,我在看看,谢谢LS
 楼主| 发表于 2010-3-5 14:20:33 | 显示全部楼层
哎,是testbench写错了,呵呵
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-12 09:01 , Processed in 0.021728 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表