在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2628|回复: 9

[求助] 请教一个fpga后仿的问题

[复制链接]
发表于 2010-3-3 17:03:35 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问一下,我有一个模块,还算比较复杂的
单独做前仿和后仿都没有问题

当把这个模块集成到另一个模块时,前仿没有问题,后仿结果会出错,请问有什么办法可以解决么?
发表于 2010-3-3 19:39:20 | 显示全部楼层
模块单独硬化?
发表于 2010-3-3 22:45:01 | 显示全部楼层
观察集成之后模块之间的时序问题,后仿真多数都是在时序上会有影响的。
 楼主| 发表于 2010-3-4 11:28:40 | 显示全部楼层
时序上能怎么深入分析呢?

我从单个模块和总的模块的综合结果来看,前者能够支持到近100M的时钟,后者能够支持到近50M的时钟
我仿真时用24M的时钟进行仿真是就出错了
发表于 2010-3-4 13:16:59 | 显示全部楼层
后仿错了。哪部分出错查不出来吗?看看你2个模块接口,数据打入的时候有没有错吧。你用更低频率的时钟试过没
 楼主| 发表于 2010-3-4 20:57:44 | 显示全部楼层
静态时序分析报的错都是出在其他模块的
发表于 2010-3-5 09:31:27 | 显示全部楼层
要是通过报告找不到原因,那就只能逐层次的搜索波形比较找到错误的原因了。虽然对于网表来说是比较麻烦的,但也不是不能解决的,需要冷静和耐心。
发表于 2010-3-5 10:20:12 | 显示全部楼层
资源占用了多少?2L说的也是一个办法,既然你单独做前仿后仿没问题,那么就把他当成一个整体,在总体的项目里调用你这个子项目的网表,那么你这个模块的时序是肯定可以满足的。这样还错,就是其他部分的问题了
发表于 2010-3-5 16:40:15 | 显示全部楼层
单独后仿没问题,放在一起有问题。最大可能就是模块间的接口问题。还有你的资源用到多少。如果很满。就要考虑手动分配各个模块的位置啦。
发表于 2010-3-9 09:57:55 | 显示全部楼层
建议都不错
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-18 23:20 , Processed in 0.030556 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表