在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3164|回复: 3

我的DC库为什么没有被加进去?请高手指教!!!

[复制链接]
发表于 2004-11-26 16:10:28 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我想用.synopsys_dc.setup文件来加载库,我写了一个.synopsys_dc.setup文件,并把它放到了启动DC的目录下面,下面是它的内容:
set search_path [list . /usr/synopsys/libraries/syn]
set target_library [list slow.db]
set link_library [list {*} slow.db]
set symbol_library [list umc18.sdb]
set verilogout_no_tri true
set designer ""
set company ""
这里边slow.db和umc18.sdb都是要加的库,而且slow.db和umc18.sdb都已经复制到/usr/synopsys/libraries/syn下面了,可是启动DC后,用list_libs命令检查,提示仍然没有库被加载!这是怎么回事呢?请高手多多指教!!!
发表于 2004-11-27 06:17:41 | 显示全部楼层

我的DC库为什么没有被加进去?请高手指教!!!

我也遇到过这样的问题.你可以先analyse一个设计,然后就可以list你的库文件了
发表于 2004-11-27 11:38:02 | 显示全部楼层

我的DC库为什么没有被加进去?请高手指教!!!

哈哈,你的search_path必须包括你的target library, link library,DC DesignWare库和symbol library库路径才行,不然DC上那找你的target library等库?
发表于 2004-12-10 18:08:02 | 显示全部楼层

我的DC库为什么没有被加进去?请高手指教!!!

这样设置试试:
set link_library  "* standard.sldb"  //通常必须设置standard库的
set target_library ""
set symbol_library ""
set search_path "$search_path  /usr/synopsys/libraries/syn"
set target_library "$target_library slow.db"
set link_library   "$link_library slow.db"
set symbol_library  "$symbol_library  umc18.sdb"
应该能够加载你所需要的库吧
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-22 08:45 , Processed in 0.029242 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表