在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7155|回复: 10

[求助] 求教nanosim混合仿真的问题!

[复制链接]
发表于 2010-1-4 09:23:26 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位,小弟最近要做一个混合仿真,用nanosim,简单来说就是用数字的激励,来带上整个芯片的电路跑。断断续续搞了几天,还没搞出来,实在头疼!有几个问题请教一下,望各位不吝赐教啊!如果有这方面的教程当然更好啦! 问题一就是怎么把数字的代码(激励)和模拟部分糅合在一起。我现在是把数字激励建了一个symbol,并带上空的schematic,用hspice提一个网表出来。然后把数字部分的那部分语言注释掉,再另外想办法把verilog加上去。但怎么加,nanosim都不认。仿出来,数字部分的输出全为低电平。顺便说明一下我用的是命令行的nanosim,不是GUI的。 问题二是怎么设置数字到模拟的接口。我之前用spectreverilog的时候是有设置a2d和d2a的,现在nanosim的命令行里,不知道用什么命令来设置。 暂时最主要就是这两个问题,望大侠们多指点指点!
 楼主| 发表于 2010-1-4 19:52:33 | 显示全部楼层
1# albtfoler123

    今天又仿了一下,用verilog的网表是可以仿了,但是在verilog里给信号赋值的时候则会编译错误,甚至有些可综合的语法也编译不过,描述如下:
     run文件:nanosim -nspice hspiceFinal -nvlog verilog.v -o top
     没有加入cfg文件(默认状态)
     先把电路提成spice网表,然后在网表里把想用verilog跑的部分注释掉;另外在verilog.v这个文件里面去定义module。
      但是有时候会提示编译错误,比如语句:assign A = B;,就可以编译,且仿真波形正确;若改为assign A=!B;或assign A=~B;都会编译报错。另外,如果使用不可综合的激励语句如:initial begin A=1'b0; #100; A=1'b1;也会报错。请问,我的仿真环境是没有安装NCS引擎的,也就是说我只用了nanosim来仿,那么nanosim是不是本身就不支持这种激励的格式?还是我的仿真环境有问题?nanosim是不是只支持verilog的网表,而不支持其他的verilog语句?
发表于 2010-1-27 15:11:31 | 显示全部楼层
这个问题太专业了,我也想知道,
发表于 2010-11-5 14:49:23 | 显示全部楼层
学习了
发表于 2010-11-7 10:41:17 | 显示全部楼层
wait fro experience sharing
发表于 2010-11-8 21:30:23 | 显示全部楼层
lz为什么不用GUI呢
我最近也在学习  COMMAND_LINE的我觉得好难
GUI找不到详细的教程
希望LZ给些 初步学习NANOSIM的建议
谢谢
发表于 2010-11-22 14:38:05 | 显示全部楼层
使用GUI会好很多i
发表于 2010-11-28 11:36:04 | 显示全部楼层
应该是不支持VERILOG吧
我使用VERILOG-a仿真也经常不正确
VERILOG-a部分功能仿真一直不正确
spectre就可以仿真通过
发表于 2013-5-9 11:13:34 | 显示全部楼层
如果把assign A <+ ~B 可行?
发表于 2015-12-24 13:11:23 | 显示全部楼层
water  water
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-14 16:39 , Processed in 0.047808 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表