在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5349|回复: 0

[求助] 请教下如何在MODELSIM中仿真含有模板例化的程序

[复制链接]
发表于 2009-12-17 14:55:33 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
能不能请教下在MODELSIM中编译含有ISE 模板例化语句的程序的问题。

问题来源于,我在一个hdl文件中例化了ISE中的模板文件(一个V5的RAM原语,RAMB36SDP,然后准备在MODELSIM中单独仿真(不是在ISE里面调用MODELSIM),仿真结果显示找不到模块RAMB36SDP。
    我感觉可能是由于没有调用库的缘故,当我再SIMULATE->START SIMULATE->library中调用了unisim库和simprim库时,在project中装入了RAMB36SDP原语文件,ISE->verilog->MTI_SE->UNISIM_VER->RAMB36SDP->_PRIMARY时,仿真显示
** Fatal: (vsim3421) Value 1095521093 for en_ecc_read is out of range 0 to 1.
#    Time: 0 ps  Iteration: 0  Instance: /tb_eth_dgf/u_eth_top/u_eth_dgf/ram_dgf File: E:/ISE10.1setup/ISEhdl/src/unisims/unisim_VITAL.vhd Line: 171785
# FATAL ERROR while loading design
1,不知道那地方操作错了,麻烦你指点下:
另外modelsim中已经编译了XILINX库文件,我再网上查了下,好像仿真核文件时需要添加库,工程中需要添加原语文件,
2,但是模板的调用是不是和IP的调用一样的不是很清楚,能不能请教下?
3,单独用MODELSIM仿真时,除了要在MODELSIM中编译xilinx库文件外,还要在仿真时进行哪些设置?
4,有人说要添加核文件,但是不知道核文件在哪,应该怎么添加。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-10 21:01 , Processed in 0.025508 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表