在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5919|回复: 12

怎么把vmm 库导入到window版本的questa 6.5b

[复制链接]
发表于 2009-12-10 23:12:58 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
下了vmm-1.1c. 但是不知道怎么load到windows版本的questasim 6.5b?
能不能像在linux 环境中那样写makefile跑test cases?

谢谢!
 楼主| 发表于 2009-12-11 19:08:44 | 显示全部楼层
知道的人,出来吼一下
发表于 2009-12-11 20:32:24 | 显示全部楼层
每个example里有对应的shell或makefile吧
 楼主| 发表于 2009-12-11 22:45:54 | 显示全部楼层
有makefile, 但是怎么在windows 下运行? 怎么把vmm导入questa里?
发表于 2009-12-11 23:11:49 | 显示全部楼层
questasim的编译能成功编译vmm的库吗?
好像questasim对ovm的支持会比较好呢
如果能编译成功的话,就是修改modelsim.ini文件,将编译成功后的路径加进去就可以了
跟加xilinx的库的方法应该是一样的
自己可以去试试看
 楼主| 发表于 2009-12-11 23:39:21 | 显示全部楼层
http://www.ovmworld.org/contribu ... or_Questa_&_IUS  这个网站说questa 已经支持vmm了。 以前没有用类似的,是怎么编译的?
 楼主| 发表于 2009-12-11 23:45:29 | 显示全部楼层
Title: VMM Kit 1.1c
--------------------------------------------------------------------------------

This kit contains a version of VMM 1.1 that is qualified to run on Questa and
IUS and intended to run on all three simulators. Modifications were needed to
make it SV-compliant and to workaround differences in simulator implementations.
发表于 2009-12-14 15:09:58 | 显示全部楼层
我试试看,可以的话再来说下
发表于 2009-12-14 22:16:01 | 显示全部楼层
今天试了下,vmm.sv编译能通过
但是仿真vsim的时候出现error
“vmm_tr_match” emply list
用的测试程序是vmm库文件下的vmm_test文件下的例子

questasim 的版本是6.5d

不知道有没有试过成功的朋友呢?

questasim下面可以写个sim.do的文件
在写个run.bat文件
就可以像makefile那样用了
执行run.bat文件可以编译成功了
发表于 2010-1-5 18:24:05 | 显示全部楼层
1# dolphintear

VMM-1.1c从哪里下载的,能给个链接吗
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 14:49 , Processed in 0.027684 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表