在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4512|回复: 3

systemc中sc_uint<n>

[复制链接]
发表于 2009-12-10 18:55:04 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
最近学习systemc,写一些输出端口类型为sc_out<sc_uint<4>> out; 和定义中间变量 sc_uint<4> interout;
但是编译时提示我out  is not declared in this scope and 第5行(定义中间变量这行sc_uint<4> interout )的template argument 1 is invalid
难道是systemc不支持sc_uint<4>datatype?
忘有人告诉!
发表于 2009-12-10 21:16:49 | 显示全部楼层
sc_out<sc_uint<4>  > out; //>>间要有个空格
 楼主| 发表于 2009-12-11 08:37:13 | 显示全部楼层
多谢楼上
该问题已解决!
发表于 2010-1-14 16:42:34 | 显示全部楼层
赞,如果在VC下面好像sc_out<sc_uint<4> >还是支持的.
但是在linux下要空格.
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 03:28 , Processed in 0.014358 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表