在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1842|回复: 6

很郁闷的问题

[复制链接]
发表于 2009-11-9 03:22:41 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
VDHL写的一段代码:

type Reg is array(0 to 3) of std_logic_vector(7 downto 0);
...
Rd : in STD_LOGIC_VECTOR (1 downto 0); --端口声明里的

function decode(R_code : in std_logic_vector(1 downto 0)) --2-4译码器
return integer is
variable tmp : integer;
begin
case R_code is
when "00" => tmp:=0;
when "01" => tmp:=1;
when "10" => tmp:=2;
when "11" => tmp:=3;
when others => NULL;
end case;
return tmp;
end;

process (CLK)
variable R : Reg;
variable tmp: integer;
begin
...
tmp :=decode(Rd);
R_load(R(tmp)(2 downto 0),Rs);
...

R_load这个函数在这个问题里无关紧要。
让我很崩溃的是上面最后两行代码在板子上没效果,但下面这两行代码却没问题:
tmp :=2;
R_load(R(tmp)(2 downto 0),Rs);

decode(Rd)这个函数绝对没问题,我试过单独调用,Rd=“10”时tmp就是2.
既然tmp是2,为啥R(tmp)与R(2)不等价呢?

说白了我就是想让R的第一维下标依赖于板子上的开关Rd。
现在做不到这点,请问有什么别的好办法?
环境是ISE11,芯片是SPARTAN3
 楼主| 发表于 2009-11-9 11:40:25 | 显示全部楼层
没人知道怎么解决么。。。
发表于 2009-11-9 12:13:29 | 显示全部楼层
和你一样糊涂着
发表于 2009-11-9 15:06:54 | 显示全部楼层
可能是函数调用参数不要用端口,你改用信号,或用变量先传递。
 楼主| 发表于 2009-11-9 17:09:55 | 显示全部楼层
回楼上,R_load这句中没有涉及到端口。如果你指的是decode这里面用了端口Rd的话,我单独调试过decode,这里面用端口还是用别的没关系。
发表于 2009-11-9 23:25:23 | 显示全部楼层
decode 函数没有必要自己写,STD_LOGIC_UNSIGNED库里的CONV_INTEGER()函数就可实现这功能。
代码我也没看出什么问题,建议还是仿真一下,应该能发现问题在哪。
 楼主| 发表于 2009-11-10 02:18:34 | 显示全部楼层
谢谢楼上的,我也试过conv_integer,问题依旧。仿真也没看出哪有问题,可是实际跑的时候这两种写法的结果就截然不同
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-5 17:19 , Processed in 0.022410 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表