在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 10250|回复: 10

求助:quartus 功能仿真和时序仿真的结果怎么不一样呢

[复制链接]
发表于 2009-10-9 14:58:00 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
写了一段代码,功能仿真是正确的,时序仿真的结果是错误的,改变时钟周期也不能解决问题,请教版里有经验或遇到过类似问题的大虾,这是怎么回事呢,可能是由什么原因引起的呢。先谢谢了。
 楼主| 发表于 2009-10-9 15:02:53 | 显示全部楼层
补充:时序仿真的结果为0,1的形式,且没有毛刺现象,以前写程序从来没遇到过这种情况,本人还是新手,请各位多多关照。谢谢。
发表于 2009-10-12 10:08:27 | 显示全部楼层
信息不充分,不好说
发表于 2009-10-12 20:12:46 | 显示全部楼层


补充:时序仿真的结果为0,1的形式,且没有毛刺现象,以前写程序从来没遇到过这种情况,本人还是新手,请各位多多关照。谢谢。
raohxia 发表于 2009-10-9 15:02


”时序仿真的结果为0,1的形式,且没有毛刺现象“
正确的不就是这样吗??、不知道你说的什么?????
一般功能仿真通过,时序仿真出错有两个原因:一个就是时序不满足要求的时钟频率,一般降频处理的话可以通过,如果降频也不能得到正确的结果,那就是第二种问题了,
testbench有问题,功能仿真和时序仿真不能兼容,需要设置一定的延时
 楼主| 发表于 2009-11-5 10:09:00 | 显示全部楼层
谢谢mafan88,我再调试程序看看。
发表于 2009-11-5 13:14:57 | 显示全部楼层
看看设计的时序是否满足要求了。
发表于 2010-8-25 19:41:42 | 显示全部楼层
同问……………………
发表于 2010-8-25 21:13:22 | 显示全部楼层
quartus在公司用的好好的,回来在自己的本上面安装了就不行了
发表于 2010-11-8 12:35:34 | 显示全部楼层
回复 4# mafan88


    求教mafan88 我就是遇到了你说的第一个问题,我降频以后,时序仿真功能就正确了,可是这不是我的时序要求啊,怎么办?我要求我的设计的输入时钟在400M,可是时序仿真只能通过200M的时钟,那怎么解决呢?
发表于 2012-4-13 11:25:29 | 显示全部楼层
我也遇到这个问题啦,先看看testbench写的对不对
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-12 12:38 , Processed in 0.049330 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表