在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 8128|回复: 6

Detected ripple clock "<name>" as buffer如何解决

[复制链接]
发表于 2009-9-16 20:50:03 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我在写分频程序时,碰到Detected ripple clock "<name>" as buffer这个问题,如何解决?
如果让这个警告继续,将会对分频的时钟产生什么样的影响。谢谢!
发表于 2009-9-17 09:01:02 | 显示全部楼层
产生这个警告的原因就是你将分频后的信号又当作时钟来用了

分频后的时钟和原来的时钟之间有一定时钟歪斜,一般频率不是特别高的话可以不管这个警告.

另外一种方法就是用时钟使能方式来代替分频时钟,这样就不会有警告了
 楼主| 发表于 2009-9-17 10:43:17 | 显示全部楼层
谢谢你的回复,在你的帮助下已经解决!




原帖由 skytang007 于 2009-9-17 09:01 发表 产生这个警告的原因就是你将分频后的信号又当作时钟来用了 分频后的时钟和原来的时钟之间有一定时钟歪斜,一般频率不是特别高的话可以不管这个警告. 另外一种方法就是用时钟使能方式来代替分频时钟,这样就不会有 ...

发表于 2010-12-9 19:57:57 | 显示全部楼层
回复 3# phany


    兄弟,如何解决的啊,分享一下!
发表于 2011-10-9 13:10:18 | 显示全部楼层
如何解决的?
发表于 2012-5-3 17:33:10 | 显示全部楼层
二楼的富人,回答的很给力的哇。不错,我的问题也得到了解决。
发表于 2012-8-4 17:35:21 | 显示全部楼层
Warning: Found pins functioning as undefined clocks and/or memory enables
Info: Assuming node "clk" is an undefined clock
原因:是你作为时钟的PIN没有约束信息。可以对相应的PIN做一下设定就行了。主要是指你的某些管脚在电路当中起到了时钟管脚的作用,比如flip-flop的clk管脚,而此管脚没有时钟约束,因此QuartusII把“clk”作为未定义的时钟。
措施:如果clk不是时钟,可以加“not clock”的约束;如果是,可以在clock setting当中加入;在某些对时钟要求不很高的情况下,可以忽略此警告或在这里修改:Assignments>Timing analysis settings...>Individualclocks...>...
注意在Applies to node中只用选择时钟引脚一项即可,required fmax一般比所要求频率高5%即可,无须太紧或太松。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-14 23:45 , Processed in 0.035835 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表