在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 8225|回复: 9

谁了解如何用vhdl写倍频程序?

[复制链接]
发表于 2009-9-14 11:00:36 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
谁了解如何用vhdl写倍频程序?输入时钟为16M,可以倍频到64M!
发表于 2009-9-28 13:29:27 | 显示全部楼层
用PLL吧
发表于 2009-9-29 22:41:20 | 显示全部楼层
简单讲,纯数字逻辑要做倍频是不现实的
发表于 2009-9-29 23:25:14 | 显示全部楼层
分频都是用高倍始终去分的。一切都是依始终为基准的。

所以倍频似乎一般写不出来把?一般都是用IPcore中的PLL来实现的。
发表于 2009-9-30 16:27:23 | 显示全部楼层
好像有本书上有个例子可以倍频机械工业出版社面向CPLD/FPGA的VHDL设计,王开军,姜宇柏编著
不过那个例子有个库找不到,你可以看看吧,呵呵
发表于 2009-9-30 22:33:21 | 显示全部楼层
DPLL,好像坛子里有
发表于 2009-9-30 23:58:39 | 显示全部楼层
还真没研究过DPLL
发表于 2011-10-20 19:39:54 | 显示全部楼层
16m时钟过低啊,dcm好像用不了,PLL可以吗?求解……
发表于 2011-11-17 13:05:39 | 显示全部楼层
好像也不行吧
发表于 2011-11-17 15:10:44 | 显示全部楼层
自己都能写出来啊 那还要PLL DLL 搞毛啊 时钟不能随便搞 
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 18:25 , Processed in 0.026915 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表