在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 8153|回复: 14

怎样合成这样一个信号!

[复制链接]
发表于 2004-5-19 09:53:56 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
一个时钟信号SCK1=2M,另一个时钟信号SCK2=27M,我要得到这样一个信号SCK3为:在SCK1的一个时钟周期中只取一个SCK2信号并且只在SCK1的上升沿开始取
发表于 2004-5-19 10:11:00 | 显示全部楼层

怎样合成这样一个信号!

用SCK2提取SCK1的上升沿
其结果与SCK2相与
 楼主| 发表于 2004-5-19 10:32:51 | 显示全部楼层

怎样合成这样一个信号!

谢谢板主的回答
能否提供一个VHDL实现例子
发表于 2004-5-19 10:49:22 | 显示全部楼层

怎样合成这样一个信号!

verilog可以不
 楼主| 发表于 2004-5-19 10:52:53 | 显示全部楼层

怎样合成这样一个信号!

可以,非常感谢!
发表于 2004-5-19 10:59:52 | 显示全部楼层

怎样合成这样一个信号!

[这个贴子最后由atuhappy在 2004/05/19 11:00am 第 1 次编辑]

俺没仿真
module edge_detector(SCK1, SCK2, CLR, PULSE);
input SCK1, SCK2, CLR;
output PULSE;
wire PULSE;
reg [1:0] q;
assign  PULSE = ( q[0] & ~q[1] ) & SCLK2;
always@(posedge SCLK2 or CLR)
begin
  if(!CLR)
    q <= 2'b00;
  else
    q[1:0] <= {q[0],SCK1};  
end
endmodule
 楼主| 发表于 2004-5-19 16:21:25 | 显示全部楼层

怎样合成这样一个信号!

感谢!
always@(posedge SCK2 or CLR)中将posedg SCK2 和CLR放在一起不能仿真
将CLR去掉就可以仿真了,请问何故
发表于 2004-5-19 18:02:36 | 显示全部楼层

怎样合成这样一个信号!

异步清零
应该是可以的
发表于 2004-5-19 22:08:46 | 显示全部楼层

怎样合成这样一个信号!

always@(posedge SCLK2 or CLR)
应该是:
always@(posedge SCLK2 or negedge CLR)
发表于 2004-5-23 14:00:13 | 显示全部楼层

怎样合成这样一个信号!

可是该文件编译的结果是:0单元啊?不能综合吗?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 17:00 , Processed in 0.034896 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表