|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
我在使用ncsim仿真中,
采用如下格式
initial
begin
$fsdbDumpfile("top_sim.fsdb");
$fsdbDumpvars(0,sys);
end
来dump fsdb 文档,但是编译的时候出现如下错误
ERROR:VPI NOVALOB
Object of type vpiNetArray does not have a value.
./sys.v, 1000: $fsdbDumpvars(0,sys.v)
ncsim: *internal* (sv_seghandler - trapno -1).
Ovserved simulation time : 1 NS +3
Please contact Cadenc Design Systems about this problem
and proviide enough information to help us reproduce it.
***Current stack trace:
-->[User Code] 0x....... ..<don't know> ........../ius5.4_vhpi/LINUX/libnovas.so
-->[VPI Overhead]0x.......<don't know>
-->[VPI Overhead]0x.......<don't know>
TOOL: ncverilog .......: Exiting on May 07,.........
怀疑是环境的问题,但是不知道怎么改。急啊 |
|