在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3551|回复: 0

急!急!!请教关于PLI的问题

[复制链接]
发表于 2009-5-5 14:37:16 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在使用synopsys的SmartMode时,用ncverilog 模拟,在使用+loadpl1时有问题,如下
******************
ncverilog modelfiles/testbench/flex/pcix_master_fx_tst.v \
modelfiles/testbench/flex/pcix_master_fx_sys.v \
+loadpli1=/home/CAD/sm_pci_linux_2009.03a/cdrom/lib/linux.lib/swiftpli:swift_boot \

+incdir+/tools/CAD/sm_pci_linux_2009.03a/cdrom/sim/pli/src \
+incdir+/home/jrj/pci_SmartModel/modelfiles/src/verilog \
+access+wrc
*************************************
总是报错:
Failed to load dynamic library /home/CAD/sm_pci_linux_2009.03a/cdrom/lib/linux.lib/swiftpli

/home/CAD/sm_pci_linux_2009.03a/cdrom/lib/linux.lib/swiftpli.so: cannot open shared object file: No such file or directory


/home/CAD/sm_pci_linux_2009.03a/cdrom/lib/linux.lib/swiftpli.so确实存在

请高手指点一下,到底是怎么回事??????
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 10:21 , Processed in 0.016482 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表