在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3127|回复: 4

Verilog PLI教程 --- 第一部分 介绍

[复制链接]
发表于 2009-3-16 21:05:32 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
例子 - Hello World 我们将定义一个hello函数,当它被调用时,将打印出“Hello Deepak”。这个例子将不使用任何的PLI标准函数(ACC,TF和VPI)。对于详细的链接信息,请参考仿真器的手册。每个仿真器都有它自己的方式把C/C++函数链接到仿真器。 C 代码 1 #include 2 3 void hello () { 4 printf ( "nHello Deepakn" ); 5 } verilog代码 1 module hello_pli (); 2 3 initial begin 4 $hello; 5 #10 $finish; 6 end 7 8 endmodule 开始仿真一旦链接完成,仿真就如以前我们见过的普通仿真一样,只是在命令行的选项上有些许改变。就是我们必须要告诉仿真器,我们要使用PLI(Modelsim 需要在命令行上指定加载哪个共享对象)。
发表于 2010-1-28 23:26:47 | 显示全部楼层
ddddd
发表于 2010-3-20 00:05:29 | 显示全部楼层
ggggggggggg
发表于 2010-3-20 00:08:50 | 显示全部楼层
这个适合学生用~
发表于 2018-12-19 19:36:15 | 显示全部楼层
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-9 04:46 , Processed in 0.030840 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表