在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: littleannie

关于PLL相位噪声的仿真

[复制链接]
发表于 2009-6-28 15:48:44 | 显示全部楼层
谢谢,拿来参考一下
发表于 2009-6-30 13:47:50 | 显示全部楼层
值得关注
发表于 2009-7-9 13:12:28 | 显示全部楼层
thanks very much
发表于 2009-7-9 15:21:17 | 显示全部楼层
发表于 2009-8-7 21:36:28 | 显示全部楼层
不错,谢谢分享
发表于 2009-8-7 22:47:41 | 显示全部楼层
好像在candence里很难吧?个人觉得MATLAB比较容易
发表于 2009-8-8 11:12:16 | 显示全部楼层
pll噪声性能评估需要从下面这几个方面考虑:
1,频域的线性模型,分析锁定状态下的噪声性能。锁定状态pll的线性模型公式在任何一本介绍pll的书中都找的到,用matlab建模最为方便,veriloga也可以。首先pss+pnoise抓出各个模块电路的噪声性能,再把这些数据代入pll线性模型中,就可以分析出各个模块对系统的噪声贡献了。。。注意这种建模方法只是评估锁定状态下各个模块电路的器件噪声对系统的贡献。采用sigma delta modulator的小数分频pll,也可以把 sdm的理论噪声模型代入环路分析。

2,时域的tran模型,主要分析pll的锁定过程,也可以可以看到spur。这个模型的最大好处是整个pll都可以是实际的电路,因此结果最能反映实际工作状态,尤其锁定过程。做pll一定要跑一个总体的tran仿真,确保锁定过程没有问题。有时候模块间时间常数差距太大了,比如vco振在5G以上,reference只有几M,环路带宽不到100K,那么仿真过程可能会非常慢,要好几天才能看到锁定过程。这时可以采用部分模块用实际电路部分模块用veriloga模型的方式提高仿真速度,把vco与divider merge成一个veriloga模型是最常用的方式。sigma delta modulator既可以是veriloga模型,也可以是verilog代码。
由于fft的限制,用tran+fft的方式想看到phase noise谱是不太现实的。不过可以采用另一个技巧,写一个veriloga模块记录下vco相位经过2pi整数倍的时间点,按jitter的定义用matlab来分析出rms jitter,peak jitter等。需要注意的是tran模型中不包含1中提到的器件噪声,mos/res这些器件的噪声模型都是小信号模型。但tran模型能够准确的评估sdm引入的噪声。
其实还可以把vco/reference这两个最重要的噪声源的phase noise取积分算出rms jitter,再用veriloga建模这两个模块,加入正态分布的时间抖动。这样一来,tran模型也包含了器件噪声,只是中间绕了一个弯。

3,考虑pll噪声性能另一个非常重要但很少有资料提及的方面是必须仔细评估电源/地扰动的影响,这需要分析每一个模块的电源/地的噪声抑制能力。

个人认为这三个方面缺一不可,在pll设计的不同阶段各有侧重。早期系统spec定义及模块spec分解时,主要用1的方法,这时模块噪声数据没有但可以参考经验数据,这一步确定出pll主要的环路参数,如lpf参数、除频比、vco噪声、cp/divider噪底等。模块设计阶段就要侧重1和3,这里1指优化模块自身噪声性能。在最后系统集成验证阶段则需要侧重1和2。这时模块噪声数据已经有了,可以准确评估电路器件噪声对整体的贡献。
发表于 2009-8-9 22:08:26 | 显示全部楼层
学习一下!
发表于 2009-8-11 16:13:08 | 显示全部楼层
ding!!!!!!!!!
发表于 2009-8-12 15:53:24 | 显示全部楼层
好贴,学习了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-17 10:22 , Processed in 0.027104 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表