在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2802|回复: 5

我写了一个多端口ram的模块,但是综合不出频率

[复制链接]
发表于 2008-10-7 15:46:59 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我写了一个多端口ram的模块,但是综合不出频率,去除这个模块,整体的频率就能综合出来,请高手指正

module regfile(

               clk,
               // for decoder1            
               we3,
               ra1,
               ra2,
               wa3,
               wd3,
               rd1,
               rd2,
               
               // decoder2
               we6,
               ra4,
               ra5,
               wa6,
               wd6,
               rd4,
               rd5
               );
               
//macro defines
parameter WIDTH_REG_ADDR = 3'd5;
parameter WIDTH_REG_DATA = 6'd32;
              
//input signals
input          clk;
input          we3;
input          we6;
input[WIDTH_REG_ADDR-1:0]     ra1;
input[WIDTH_REG_ADDR-1:0]     ra2;
input[WIDTH_REG_ADDR-1:0]     wa3;

input[WIDTH_REG_ADDR-1:0]     ra4;
input[WIDTH_REG_ADDR-1:0]     ra5;
input[WIDTH_REG_ADDR-1:0]     wa6;

input[WIDTH_REG_DATA-1:0]     wd6;
input[WIDTH_REG_DATA-1:0]     wd3;

//output signals
output[WIDTH_REG_DATA-1:0]    rd1;
output[WIDTH_REG_DATA-1:0]    rd2;
output[WIDTH_REG_DATA-1:0]    rd4;
output[WIDTH_REG_DATA-1:0]    rd5;
//logic


reg [31:0] rf[31:0];

always @ (posedge clk)
begin
    if (we3) rf[wa3]<=wd3;
else
   if (we6) rf[wa6]<=wd6;
end

assign rd1 = (ra1 != 0)?rf[ra1]:0;
assign rd2 = (ra2 != 0)?rf[ra2]:0;



     

assign rd4 = (ra4 != 0)?rf[ra4]:0;
assign rd5 = (ra5 != 0)?rf[ra5]:0;   

        
     
endmodule
发表于 2008-10-9 13:56:17 | 显示全部楼层
库里面没有对应这样功能的memory模块,自然是综合不出memory了
发表于 2008-10-9 14:49:38 | 显示全部楼层
只有一个ram,你想要哪里到哪里的时间参数?
发表于 2008-10-9 17:05:16 | 显示全部楼层
没有时间参数啊
发表于 2008-11-27 14:11:56 | 显示全部楼层
Thanks!!
发表于 2008-12-15 00:00:14 | 显示全部楼层
这个好奇怪啊,难道没有time report&#160;
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-8 03:24 , Processed in 0.024439 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表