在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7001|回复: 14

systemC modelsim6.0 问题

[复制链接]
发表于 2004-9-13 21:19:19 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
[这个贴子最后由puffen在 2004/09/13 09:32pm 第 7 次编辑]

3 个源文件
------------------- 1: counter.h --------------------
#include "systemc.h"
SC_MODULE(cnter) {
// Inputs
sc_in< bool > reset;
sc_in_clk CLK;
// Outputs
sc_out< int > data;
void entry();
// Constructor
SC_CTOR(cnter) {
SC_CTHREAD(entry, CLK.pos());
// Global watching for reset
watching(reset.delayed() == true);
}
};
--------------------------2:counter.cpp ---------------------
#include < stdio.h >
#include "counter.h"
void cnter::entry() {
int count = 2;
// Reset behavior
if (reset.read() == true) {
count = 0;
printf("RESET! Count = %d\n",count);
wait();
}
while (true) {
printf("Count = %d\n",count);
count++;
if (count > 7) {
count = 0;
}
data.write(count);
wait();
}
}
------------------------ 3:test_counter.cpp ------------------
#include "systemc.h"
#include "counter.h"
SC_MODULE(test_counter)
{
   sc_signal< int > data;
   sc_signal< bool > reset;
   sc_clock clock;
   cnter DUT;
   
   void power_on_reset()
   {
      reset = true;
      wait(50, SC_NS);
      reset = false;
      wait();
   }
   SC_CTOR(test_counter) : data("DATA"),
         clock("clk", 100, 0.5, 0.2),
         DUT("counter")
   {
      DUT.reset(reset);
      DUT.CLK(clock);
      DUT.data(data);
      SC_THREAD(power_on_reset);
   }      
};
-----------------------------------------------------
-----------------------------------------------------
sccom -g counter.cpp
sccom -g test_counter.cpp
都编译成功
sccom -link
链接生成systemc.so也成功。
但是运行 vsim test_counter
modelsim6.0 就退出了,也不知道什么错误,真让人费解
操作系统: winxp英文版加中文补丁
不知道有谁能帮我解答这个问题[DISABLELBCODE]
 楼主| 发表于 2004-9-13 23:03:43 | 显示全部楼层

systemC modelsim6.0 问题

花了好长时间,终于找到了原因,我翻了个愚蠢的错误
在top module中忘记加了一句最重要的话(宏):
  SC_MODULE_EXPORT(test_counter);
    加上后,一切OK,现在终于放心可以使用 systemC/verilog
混合仿真了
发表于 2004-9-15 13:13:01 | 显示全部楼层

systemC modelsim6.0 问题

modelsim6 中是不是带了systemc的编译器了?给我一份行不?
发表于 2004-9-25 21:06:41 | 显示全部楼层

systemC modelsim6.0 问题

安装好就有的吧,你指的是GCC吗?
 楼主| 发表于 2004-9-26 21:59:46 | 显示全部楼层

systemC modelsim6.0 问题

差不多,是一个自带的c++编译器,有一个目录“gcc-3.2.3-mingw32”
就是C++编译器。
发表于 2004-11-1 10:41:41 | 显示全部楼层

systemC modelsim6.0 问题

modelsim6.0自带了几个example , 用来练手很不错的。
发表于 2006-9-8 23:42:17 | 显示全部楼层
能不能教我一下 初学 不知道怎么下手 qq122527242
发表于 2006-10-31 10:03:25 | 显示全部楼层
是啊是啊,写份叫成么
发表于 2011-4-15 20:45:10 | 显示全部楼层
SC使用起来确实麻烦,要真正实现系统级算法验证综合不容易
发表于 2011-4-17 10:54:28 | 显示全部楼层
我也正在学习SC,就是找不到合适的综合工具,各位推荐一下好吗
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-22 22:46 , Processed in 0.040698 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表