|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
我编了一段测试程序想产生几个激励源,可是在quartus下编译通不过,提示不支持wait语句,程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity counter12test is
end counter12test;
architecture sim1 of counter12test is
component count12en
port(clk,clr,en: in std_logic;
qa,qb,qc,qd: out std_logic);
end component;
constant clk_cycle: time:=20ns;
signal test_clk,test_clr,test_en: std_logic;
signal t_qa,t_qb,t_qc,t_qd: std_logic;
begin
u0: count12en
port map(clk=>test_clk,clr=>test_clr,
en=>test_en,qa=>t_qa,qb=>t_qb,
qc=>t_qc,qd=>t_qd);
process
begin
test_clk<='1';
wait for clk_cycle/2;
test_clk<='0';
wait for clk_cycle/2;
end process;
process
begin
test_clr<='0';
test_en<='1';
wait for clk_cycle/4;
test_clr<='1';
wait for clk_cycle;
test_clr<='0';
wait for clk_cycle*10;
test_en<='0';
wait for clk_cycle*3;
test_en<='1';
wait;
end process;
end sim1;
每次编译都出现如下错误:
Error: VHDL Wait Statement error at counter12test.vhd(23): Wait Statement must contain condition clause with UNTIL keyword;
当我把wait for 该为wait until时也不行,怎么办啊!!!
|
|