在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2870|回复: 5

FIFO的综合问题

[复制链接]
发表于 2003-11-26 15:40:19 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
    写一个FIFO的程序,但是软件工具综合时却用了逻辑单元,而不是用RAM块,这是为什么啊!是不是要加什么约束条件啊。
发表于 2003-11-26 16:11:25 | 显示全部楼层

FIFO的综合问题

sram在你的code里一般是一个blackbox,正真的内容(以xilinx为例)是由coregenerater 产生的edif,综合之后的sram也是一个空壳子,只有p&R
时需要与sram的edif一起来做。
发表于 2003-11-26 17:41:31 | 显示全部楼层

FIFO的综合问题

如果用DC综合,Memory Compiler产生RAM的synthesis model,再综合整个design
发表于 2003-11-26 18:19:07 | 显示全部楼层

FIFO的综合问题

你直接写一个FIFO,没有指定存储块用什么实现的话,当然综合成逻辑啦;就是把你的mem部分全部用触发器实现的。
发表于 2003-11-26 18:34:45 | 显示全部楼层

FIFO的综合问题

在synplicity的综合工具综合中,RAM的实现方法有两种:instantiation和inference。如果你想用inference的方法实现,可以参考一下Synplify Pro的user guide中“Inferring RAMs”一节的描述.
另外,在synplify中还提供了directive来指导综合工具采用哪种RAM。
发表于 2003-11-26 22:25:37 | 显示全部楼层

FIFO的综合问题

syn_ramstyle
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 11:18 , Processed in 0.028571 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表