在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: blue1025

[共享]宇航级微处理器LEON2 2.2 VHDL源代码

[复制链接]
发表于 2012-11-4 10:34:13 | 显示全部楼层
Thanks a lot.
发表于 2013-1-10 10:42:21 | 显示全部楼层
对这个处理器不怎么了解,需要好好看看,分析分析
发表于 2013-4-3 15:34:03 | 显示全部楼层
学习学习
发表于 2013-4-4 17:07:07 | 显示全部楼层
下下来看看,到时再谢
发表于 2013-4-29 01:02:32 | 显示全部楼层
DUOXEI.....
发表于 2013-5-16 22:28:37 | 显示全部楼层
请问楼主有没有 leon2 带mmu的仿真器 tsim啊,支持 -mmu选项的,现在网上只能下了leon3的仿真器,毕业设计要用到,有的话麻烦发我一个,谢谢!!
邮箱: lqt641@163.com
发表于 2013-12-11 22:09:47 | 显示全部楼层
很不错一款处理器
发表于 2014-4-10 15:15:44 | 显示全部楼层
楼主辛苦,非常感谢
发表于 2014-4-18 21:31:04 | 显示全部楼层
谢谢谢谢!
发表于 2014-8-2 02:14:46 | 显示全部楼层
好东西,不过300多K,可能不全吧~
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-19 13:33 , Processed in 0.035164 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表