在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: blue1025

[共享]宇航级微处理器LEON2 2.2 VHDL源代码

[复制链接]
发表于 2011-7-13 19:29:08 | 显示全部楼层
thanks
发表于 2011-7-15 00:22:27 | 显示全部楼层
什么东东,看看
发表于 2011-7-28 15:40:12 | 显示全部楼层
果然2.2  一直再找呢  大赞
发表于 2011-8-27 10:54:36 | 显示全部楼层
宇航级微处理器?简直是胡扯,鉴定完毕,此代码不过是LEON的开源代码而已,在Gaisler的官网上可以下载到最新的代码。什么宇航级微处理器,搞笑不,里面没有FT的功能,带有FT版本的,源代码是不可能买到的,更别说发布在这里了
发表于 2011-9-2 13:49:40 | 显示全部楼层
thankl
发表于 2011-12-6 14:11:46 | 显示全部楼层
不错啊,呵呵
发表于 2012-3-29 16:46:12 | 显示全部楼层
Thanks very much for sharing.
Is there Grmon on Linux?
发表于 2012-3-31 08:12:48 | 显示全部楼层
非常好
发表于 2012-4-2 07:51:09 | 显示全部楼层
diiiiiiiinnnnnnnnnnnnnnnnnng
发表于 2012-11-3 16:11:10 | 显示全部楼层
很难得,学习学习
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-19 15:38 , Processed in 0.026963 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表