在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: prguo

FPGA时钟输入问题

[复制链接]
发表于 2006-8-25 15:57:31 | 显示全部楼层
tongyi 斑竹的解释
发表于 2006-8-27 22:34:52 | 显示全部楼层
对于FPGA的时钟输入端而言,方波和正弦波只是上升时间不同而已,它只检测沿的跳变。而理想的方波是不存在,信号的上升时间越短,需要越高的高频分量,这会引起不必要的EMI问题。所以只要满足最慢上升时间的要求,用越缓的时钟信号沿越好。
头像被屏蔽
发表于 2006-8-28 09:26:46 | 显示全部楼层
提示: 作者被禁止或删除 内容自动屏蔽
发表于 2006-8-28 13:41:58 | 显示全部楼层
感觉还是方波吧
发表于 2006-8-30 16:44:13 | 显示全部楼层
看不太懂啊.
发表于 2006-8-30 16:50:27 | 显示全部楼层
看来做一个东西可真不容易啊.要考虑很多时序的问题,这些才是FPGA设计中最难的吧?
发表于 2006-8-30 17:54:24 | 显示全部楼层
用时钟脚....
发表于 2006-9-7 19:47:03 | 显示全部楼层
FPGA对时钟的识别是通过clock的Vlh、Vhl这些极限数值来判断时钟的电平情况的,所以无所谓方波还是正弦波,任何高频的数字时钟看上去都是正弦波
发表于 2006-9-9 14:59:35 | 显示全部楼层
正弦波信号也是可以的, 不过幅度要足够
发表于 2007-11-25 13:09:07 | 显示全部楼层
最好方波拉
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-17 06:27 , Processed in 0.028392 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表