在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2748|回复: 2

三态输出?

[复制链接]
发表于 2003-11-13 19:40:12 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请教个位高手,verilog如何实现三态输出?maxplus下工作
发表于 2003-11-13 20:18:19 | 显示全部楼层

三态输出?

只是三态输出?简单
output stri_out;//三态输出定义
wire stri_eb;//三态输出使能,高为三态
wire int_out;//三态输出的数据
assign stri_out = stri_en ? 1'bz :int_out;
如果是三态输入输出,在内部分开,可参考Datasheet中的三态原理图:
随手写出来,可能不是很得体,见笑。
 楼主| 发表于 2003-11-13 21:47:01 | 显示全部楼层

三态输出?

多谢Nicholas了!3x.
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-19 12:54 , Processed in 0.025951 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表