在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1972|回复: 3

请教一个计数器的小问题!!!

[复制链接]
发表于 2008-5-3 23:18:21 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请教一个计数器的小问题:
当侦测到第一个输入为47时,计数器开始16进制计数。此后不再受输入信号的影响,独立计数。小弟刚
入门,请问各位大侠,如何用verilog编写?
发表于 2008-5-4 00:31:50 | 显示全部楼层
计数到47时,给一个寄存器赋个值,你的计数器根据这个寄存器的值进行相应的操作!
发表于 2008-5-20 23:16:48 | 显示全部楼层
很简单的一个问题,画一画电路图就知道怎么些了,。
发表于 2008-5-21 00:51:38 | 显示全部楼层
一个简单的例子,希望对你有所帮助。
//
module cnt(
       mclk,
       mrst,
       data_from_input,
       cnt_data
       );

   input                    mclk;
   input                    mrst;
   input  [7:0]             data_from_input;
   output [7:0]             cnt_data;
   
   reg                            cnt_en;
   reg   [7:0]                    cnt_data;
   
   always @(posedge mclk or posedge mrst)
   begin
         if(mrst==1'b1)
         begin
               cnt_en <= 1'b0;
         end
         else
         begin
               if( data_from_input==8'd47 )
               begin
                     cnt_en <= 1'b1;
               end
         end
   end
   
   always @(posedge mclk or posedge mrst)
   begin
         if(mrst==1'b1)
         begin
               cnt_data <= {8{1'b0}};
         end
         else
         begin
               if( cap_en==1'b1 )
               begin
                     cnt_data <= cnt_data+1'b1;
               end
         end
   end
   
endmodule
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 06:12 , Processed in 0.025278 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表