马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
用ISE+ModelSim前仿真时,VSYNC信号不出现,不知道是什么原因。是reg型的信号没有初始?还是数字传送时出了问题?
还有,请大侠们指教一下关于综合、后方针已经引脚定义的方法。
//*for real condition;
`define HSYNC_L 268
`define HSYNC_H 1560
`define VSYNC_L 3
`define VSYNC_H 262
//*/
/*for simulate condition
`define HSYNC_L 4
`define HSYNC_H 100
`define VSYNC_L 3
`define VSYNC_H 50
*/
/*
`define H_START_L 7
`define H_START_H 10
`define V_START_L 8
`define V_START_H 15
*/
/* Top module*/
module top5(clk_in,RESET,HSYNC,VSYNC,DATA,push,CLK_OUT);
input clk_in,RESET,push;
output HSYNC,VSYNC,CLK_OUT;
output [7:0] DATA;
wire clk;
reg reset;
//
wire [7:0] R;
wire [7:0] G;
wire [7:0] B;
wire HSYNC;
//wire VSYNC;
wire [1:0] CLK_B10;
wire HSYNC_B0;
wire HSYNC_temp;
//wire VSYNC;
always @(negedge clk_in)
reset<=RESET;
//D_FF D_FF_reset(1'b1,clk,reset,RESET);
assign clk=clk_in;
//reg [7:0] DATA;
assign CLK_OUT=clk;
HSYNC_BLOCK HSYNC_BLOCK(clk,reset,HSYNC_temp,CLK_B10);
D_FF D_FF_HSYNC (HSYNC_temp,clk,HSYNC);
VSYNC_BLOCK VSYNC_BLOCK(HSYNC,reset,VSYNC,HSYNC_B0);
DATA_OUT DATA_OUT(clk,reset,R,G,B,CLK_B10,HSYNC_B0,DATA);
IMAGE IMAGE(push,reset,R,G,B);
endmodule
/* CLK_COUNTER and HSYNC output*/
module HSYNC_BLOCK (clk_in,reset,HSYNC,CLK_B10);
input clk_in,reset;
output HSYNC;
//output H_START;
output [1:0] CLK_B10;
//reg H_START;
reg [10:0] CLK_COUNTER;
wire [10:0] HSY_L;
wire [10:0] HSY_H;
//wire [10:0] START_L;
//wire [10:0] START_H;
assign HSY_L=`HSYNC_L;
assign HSY_H=`HSYNC_H;
//assign START_L=`H_START_L;
//assign START_H=`H_START_H;
always @(negedge clk_in)
begin
if(reset==0)
begin
CLK_COUNTER<=0;
//H_START<=0; //***********
end
else if(CLK_COUNTER==HSY_H) CLK_COUNTER<=1;
//************
//else if(CLK_COUNTER==START_L) H_START<=1;
//else if(CLK_COUNTER==START_H) H_START<=0;
else CLK_COUNTER<=CLK_COUNTER+1;
end
assign HSYNC=((CLK_COUNTER<=HSY_L)&&(CLK_COUNTER!=0))? 0:1;
assign CLK_B10[1:0]=CLK_COUNTER[1:0];
endmodule
/* VSYNC output */
module VSYNC_BLOCK(clk_in,reset,VSYNC,HSYNC_B0);
input clk_in,reset;
output VSYNC;
//output V_START;
output HSYNC_B0;
//reg V_START;
reg [8:0] HSY_COUNTER;
wire [8:0] VSY_L;
wire [8:0] VSY_H;
//wire [8:0] START_L;
//wire [8:0] START_H;
assign VSY_L=`VSYNC_L;
assign VSY_H=`VSYNC_H;
//assign START_L=`V_START_L;
//assign START_H=`V_START_H;
always @(negedge clk_in)
begin
if(reset==0)
begin
HSY_COUNTER<=0;
//********
//V_START<=0;
end
else if(HSY_COUNTER==VSY_H) HSY_COUNTER<=1;
//************
//else if(HSY_COUNTER==START_L) V_START<=1;
//else if(HSY_COUNTER==START_H) V_START<=0;
else HSY_COUNTER<=HSY_COUNTER+1;
end
assign VSYNC=((HSY_COUNTER<=VSY_L)&&(HSY_COUNTER!=0))? 0:1;
assign HSYNC_B0=HSY_COUNTER[0];
endmodule
/* DATA output and DATA Quene */
module DATA_OUT(clk_in,reset,R,G,B,CLK_B10,HSYNC_B0,DATA);
input clk_in,reset;
input HSYNC_B0;
input [7:0] R,G,B;
input [1:0] CLK_B10;
output [7:0] DATA;
reg [7:0] DATA;
always @(negedge clk_in)
begin
if(reset)//&&H_START&&V_START)
begin
case({HSYNC_B0,CLK_B10[1],CLK_B10[0]})
//偶数行输出
3'b001: DATA<=8'bzzzzzzzz;
3'b010: DATA<=R;
3'b011: DATA<=G;
3'b000: DATA<=B;
//奇数行输出
3'b101: DATA<=8'bzzzzzzzz;
3'b110: DATA<=G;
3'b111: DATA<=B;
3'b100: DATA<=R;
endcase
end
else
DATA<=0;
end
endmodule
/* Push to change image */
module IMAGE(push,reset,R,G,B);
input push,reset;
output [7:0] R,G,B;
reg [1:0] image;
reg [7:0] R,G,B;
//initial
//image=0;
always @(posedge push)
begin
if(reset)
begin
image=image+1;
case({image[1],image[0]})
2'b01:
begin
R<=8'b00000000;
G<=8'b11111111;
B<=8'b11111111;
end
2'b10:
begin
G<=8'b00000000;
R<=8'b11111111;
B<=8'b11111111;
end
2'b11:
begin
B<=8'b00000000;
G<=8'b11111111;
R<=8'b11111111;
end
2'b00:
begin
R<=8'b00000000;
G<=8'b00000000;
B<=8'b00000000;
end
endcase
end
end
endmodule
/* module D flip flop */
module D_FF(D,clk,Q);
input D,clk;
output Q;
reg Q;
always @(negedge clk)
Q<=D;
endmodule
|