在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 9172|回复: 19

三态双向口的设计。

[复制链接]
发表于 2003-10-12 02:16:38 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我正在做一个数据的采集卡。该卡要与单片机接口。因此我想把它定义成三态的。
但发现一个问题:不能向信号赋值为“Z”。但是信号的取值范围可以有‘Z’呀。
因此想请教大虾们,该如何处理这个问题,谢谢大家了。
发表于 2003-10-12 10:55:32 | 显示全部楼层

三态双向口的设计。

一般不会出现你说的问题,由于你说的不是很明白,所以可能的情况是:
1. 请检查此信号是不是output或inout类型
2. 此信号是不是在不同block里赋值了
3. verilog的话,请定义该信号为tri类型
 楼主| 发表于 2003-10-12 20:18:40 | 显示全部楼层

三态双向口的设计。

我用的是VHDL。把信号定义成了一个STD—LOGIC-VECTOR(),可不能向它赋值为‘Z’。
而且信号不能定义成 “INPUT”或“OUTPUT”的啊。
发表于 2003-10-13 09:00:39 | 显示全部楼层

三态双向口的设计。

如果是xilinx的话:
端口定义需要是inout;
a: inout std_logic_vector(3 downto 0);
a<=b when tri_a='1' else "zzzz";
b为内部信号,是你要输出的信号,tri_a为三态控制信号;
发表于 2003-10-13 14:02:47 | 显示全部楼层

三态双向口的设计。

好象只能在仿真时才有第三态情况,实际电路中(在芯片中)无法实现高阻态的输出。
发表于 2003-10-13 14:36:43 | 显示全部楼层

三态双向口的设计。

三态是实实在在存在的,在芯片中有三态门;
一般的总线设计都会用到三态,因为有双向;
但是由于三态带来的DFT问题以及可靠性问题,所以当总线在片内时,一般不鼓励用三态,而代之以mux来实现;
在fpga里,三态就更麻烦;xilinx和altera应该都是支持三态设计的,但具体怎么实现各有千秋,俺记得以前的xilinx里面有一些三态门,而altera是在综合时以mux替代的;不知道现在它们是怎么对待的,俺好久没关注fpga设计了。
发表于 2003-10-13 15:06:52 | 显示全部楼层

三态双向口的设计。

在Xilinx的VirtexII中,每个CLB均有两个TBUF,每个TBUF的输出都可以驱动水平长线,因此可以利用TBUF实现片内总线,进一步可以实现MUX的功能。但我个人在使用时基本上不用片内的TBUF来实现MUX,由于他对CLB放置位置的要求,实际上不利于其他逻辑的布线,而且延时也并不小。如果要实现宽输入的MUX,我宁愿用F5、F6、F7、F8完成。ALTERA的器件已经好几年没用了,具体情况不太清楚。
发表于 2003-10-13 15:48:52 | 显示全部楼层

三态双向口的设计。

但是使用Tbuf可以节省其他逻辑资源,有时候还是值得考虑的。
Altera的器件应该不支持内部三态。
 楼主| 发表于 2003-10-13 16:18:57 | 显示全部楼层

三态双向口的设计。

感谢大家,让我受益非浅。是不是Altera的器件内部的总线不能做成三态的了?
发表于 2003-10-15 22:18:14 | 显示全部楼层

三态双向口的设计。

三态通常只用在端口上,内部没有高阻状态。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-20 20:21 , Processed in 0.053892 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表