在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[求助] FPGA移位寄存器问题 xiaohanlaile 2017-9-19 11334 江山无限辉 2017-9-19 09:16
[原创] FPGA 和GPU 软件层面有什么优劣势? nskaa 2018-5-10 11308 飞扬紫百合 2018-5-10 11:42
[求助] DDR3读出的数据有问题,求大神指点 attach_img fuxiaolicaicai 2019-5-9 41348 fuxiaolicaicai 2019-5-13 22:23
[求助] spi flash read 操作 CC1991 2020-10-12 21349 ll_ago 2020-10-12 17:34
[求助] 【求大神】由可以实现功能的vhdl改成verilog后不能运行了 attachment suge168 2013-12-24 01263 suge168 2013-12-24 20:59
[求助] 综合问题求助 attach_img easychen 2015-7-1 01274 easychen 2015-7-1 09:40
[求助] EPM7128SLI84-10N可以用近些年主流的什么芯片替换?而且是能插拔的,不是贴片式的 Woo187 2015-10-30 01338 Woo187 2015-10-30 14:21
[求助] DDR3内存条上的编码信息是什么意义 li869725671 2016-4-14 01287 li869725671 2016-4-14 09:54
[求助] vivado debug 谁枫而飘 2016-10-10 21304 xtwl_tpcl 2016-10-17 10:22
[求助] 请教ACP的用法 niuniu1022 2017-3-24 01353 niuniu1022 2017-3-24 14:40
[求助] 这个testbench能用来做波形检测吗? CXJCXJ 2017-4-20 01276 CXJCXJ 2017-4-20 03:21
[求助] 关于modelsim的版本问题 DZ泽通 2017-5-12 01261 DZ泽通 2017-5-12 10:55
[求助] 需要综合器件9.6.2 的synplify没有,请问解决方法 18813292959 2017-7-25 01345 18813292959 2017-7-25 01:21
[原创] What kind of semiconductor IP markets in there? h9f3 2017-10-18 01319 h9f3 2017-10-18 10:23
[求助] 求各位帮帮忙,mig核调试问题 USTC_SRQ 2017-11-1 01329 USTC_SRQ 2017-11-1 22:11
[求助] IIC时如何设置via过孔不重叠 tmacguomao 2018-3-29 01347 tmacguomao 2018-3-29 22:23
[求助] 仿真遇到问题 attach_img martian618 2018-11-25 01260 martian618 2018-11-25 15:06
[求助] 待综合模块输入时钟为外部产生的两分频时钟 1252005989 2020-3-3 21330 1252005989 2020-3-4 08:23
悬赏 [求助] 数字延迟单元结构疑问 - [已解决] attach_img Leon.sl 2023-3-7 81356 Leon.sl 2023-3-10 16:02
[求助] 有没有办法解决 cst2011 必须断网的问题,这个很不方便! shuangmu 2013-2-25 11334 aslanluci 2013-5-26 04:09
[求助] DDR问题 baobao697841 2013-7-2 11270 baobao697841 2013-7-7 17:41
[原创] 请教寄存器库的端口含义 atlandis 2013-7-10 21293 atlandis 2013-7-15 17:46
[求助] 如何仅针对子模块或某一模块设置set_dont_use chenfengrugao 2013-11-28 11319 asic_service 2013-11-29 09:12
[求助] verilog求助,在线求助 李宝绪 2014-4-14 21276 立青 2014-4-15 19:50
[求助] windriver无法创建inf文件 tcswyy999 2015-6-8 01285 tcswyy999 2015-6-8 16:05
[原创] 请教set_black_box设置 atlandis 2017-7-19 01277 atlandis 2017-7-19 17:14
[求助] DC-warning 求助 zsj230713 2019-7-25 31354 Miya.huang 2019-8-29 16:33
[原创] 关于ise的implement design——place & route 新人帖 attach_img KareShen 2022-4-8 21306 KareShen 2022-4-8 14:40
[原创] xilinx7系需要加载pcie 相关逻辑吗 ?没看明白 attach_img american007 2019-5-23 31334 american007 2019-6-6 23:01
[原创] ddr2_hp_controller ip仿真问题。。。 gerry1812 2012-3-2 01269 gerry1812 2012-3-2 10:41
[讨论] 在MBIST中hold_l的具体作用是什么 tigerajs 2012-5-29 01299 tigerajs 2012-5-29 10:11
[求助] 关于signaltap的问题 qiudanyi1 2012-12-13 21274 Timme 2012-12-16 11:58
[求助] zynq7000 ddr controller 的控制信号问题 lddyx123 2013-8-2 11313 lddyx123 2013-8-2 14:38
[讨论] 请问如何利用xilinx的官方参考设计进行学习 jiandalipengbo 2013-8-29 01264 jiandalipengbo 2013-8-29 18:04
[求助] Modelsim的使用问题 wind_vip 2013-10-29 01309 wind_vip 2013-10-29 11:42
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-9 09:31 , Processed in 0.108690 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块