在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1318|回复: 2

[求助] 待综合模块输入时钟为外部产生的两分频时钟

[复制链接]
发表于 2020-3-3 17:12:48 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
首先声明我是一个初学者。。。
我使用自底向上综合策略,对一个模块进行综合,这个模块的两个输入时钟是同一外部的分频电路产生的不同频率的时钟(是不是就是同步时钟),请问在施加时钟约束的时候应该如何设置?
请大家帮忙 谢谢
发表于 2020-3-3 21:46:15 | 显示全部楼层
试试这种格式约束

create_clock  -name fast_clock -period xxx
create_generated_clock -name slow_clock -source fast_clock  -divid_by  n
 楼主| 发表于 2020-3-4 08:23:39 | 显示全部楼层


briank 发表于 2020-3-3 21:46
试试这种格式约束

create_clock  -name fast_clock -period xxx


不好意思请问一下,create_generated_clock不是定义内部时钟的么?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 13:43 , Processed in 0.017368 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表