在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[求助] 闭环流水线循环该如何编写代码c++ sins17 2024-1-22 0259 sins17 2024-1-22 15:47
[求助] 求助,在HDLbits上练习,这个代码不知道错哪了 attach_img 2558966080 2024-1-22 5467 2558966080 2024-1-24 10:29
[求助] 关于Vivado 自带的MIG AXI4接口DDR 控制器example desgin的 疑问 attach_img 矿工联盟盟主 2024-1-21 5474 ht_lch 2024-1-22 13:41
[求助] 估值10+亿SSD存储主控芯片设计公司 急招 SSD项目经理PM 60W shearerliu 2024-1-21 0325 shearerliu 2024-1-21 16:21
[原创] BT5.4 Release_Note attachment qianqian123 2024-1-20 4494 qianqian123 2024-4-8 17:18
[原创] BT5.4 Release_Note qianqian123 2024-1-20 3377 qianqian123 2024-1-22 14:14
[原创] verdi 断言 新人帖 popkok 2024-1-19 2567 Gavin-35 2024-1-24 18:52
[求助] modelsim中全局宏定义如何设置 xdf666 2024-1-18 1352 liuguangxi 2024-1-18 20:57
[求助] 了解了协议之后怎么设计代码? 新人帖 dl1048485049 2024-1-18 3480 zhx_sm 2024-1-22 17:56
投票 [原创] 大家过年放假都有几天? liguchu 2024-1-18 4996 murphy522 2024-2-22 17:28
[资料] Vim UVM Snippets attach_img AlvinRolling 2024-1-17 1431 3almap 2024-4-17 00:20
投票 [其它] 你们公司是在几月份提薪? liguchu 2024-1-17 81381 silent_speak 3 天前
[求助] 每位累加求和简便写法? attach_img xdf666 2024-1-17 3375 xdf666 2024-1-17 17:11
[求助] lec 如何在逻辑锥中穿过 unmapping 的 latch 温柔阴影 2024-1-17 0199 温柔阴影 2024-1-17 11:13
[资料] Solid-State Drive (SSD) Introduction AlvinRolling 2024-1-17 3390 im.leo 2024-1-29 14:27
[资料] Non-Volatile Memory Express (NVMe) Introduction AlvinRolling 2024-1-17 4303 wangchenglong 2024-3-20 14:23
[原创] 什么情况下不用多晶电阻,用有源电阻或者阱电阻 新人帖 白驹 2024-1-16 0348 白驹 2024-1-16 20:23
悬赏 [求助] 处理器设计 & RI5CY & RISC-V & 数字设计 & 硬件木马检测 - [悬赏 300 信元资产] 北极的星辰 2024-1-16 0501 北极的星辰 2024-1-16 19:41
[求助] 求双精度浮点数除法verilog IP helimpopo 2024-1-16 1338 ht_lch 2024-1-16 18:47
[原创] wifi6  ...2 qianqian123 2024-1-15 10843 binnq 2024-2-1 14:00
悬赏 [求助] sram先写再读出问题QAQ - [已解决] attach_img GloriaMoran 2024-1-15 6519 zhongzhetao 2024-1-16 15:39
[原创] 最近写了一个psram controller whatismoney 2024-1-15 4528 A-tian 2024-4-14 21:34
[求助] Xilinx Vivado 18.3 DDR3 MIG IP with AXI4 interface 写入功能错乱 新人帖 attach_img 矿工联盟盟主 2024-1-15 6491 矿工联盟盟主 2024-1-19 15:38
[求助] 有没有大神用synopsys的DWC_XGMAC arccosx 2024-1-15 0289 arccosx 2024-1-15 10:59
[求助] 进位旁路加法器的关键路径 attach_img zhujianhuax 2024-1-14 1455 kuangjianghua 2024-1-15 09:41
[原创] 这个项目就是当时的总监说打算几个月做出来的 dodoee 2024-1-14 1747 rvisk 2024-1-24 08:39
[原创] 求wifi6 ip lxtx603 2024-1-13 1497 lxtx603 2024-1-17 15:44
[原创] 前端设计的代码优化 数学必考150 2024-1-12 6718 naNo_cc 2024-2-21 09:38
[求助] 用DC逻辑综合的时候输入check_timing之后报错 thrallzy 2024-1-11 0356 thrallzy 2024-1-11 21:46
[求助] tessent 扫描链插入出错。请各位帮忙看一下什么原因,谢谢。 新人帖 attachment lzx20000122 2024-1-11 3693 Lentau 2024-1-25 21:51
[讨论] debussy 如何加载 parameter文件?就是include里面的文件 feitengyu 2024-1-11 2366 gaurson 2024-1-12 20:46
[原创] Malogic FPGA Board 优惠活动 attach_img dodoee 2024-1-11 2383 dodoee 2024-1-12 08:18
[求助] Verdi导出RTL代码中instance路径 Blue_IC 2024-1-10 4441 夏尔 2024-1-12 10:43
[解决] Verdi打开filelist问题 kyaaaa 2024-1-10 4411 kyaaaa 2024-1-10 17:23
[求助] error: too many initializers sins17 2024-1-10 0254 sins17 2024-1-10 15:06
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 14:46 , Processed in 0.075267 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块