在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (124) |订阅

后端资料区 今日: 0|主题: 5692|排名: 9 

[原创] 后端设计基本流程 attachment wgod 2022-12-6 91885 kjq999 2023-11-8 07:01
[原创] 求大佬发一份SMIC55 SCC55NLL hvt和lvt的verilog文件 arthur_wo 2023-11-2 2469 arthur_wo 2023-11-4 18:36
[原创] 承接芯片设计服务及主流晶圆厂先进制程流片服务+13862124271 attach_img plaza007 2022-10-19 5711 plaza007 2023-11-3 08:42
[原创] innovus命令手册19.1 新人帖 attachment 马奔 2023-7-25 71116 hotelgao 2023-10-30 08:58
[原创] RTL设计指导原则 attach_img  ...2 gyx3598 2022-8-24 142925 waveguides 2023-10-21 04:17
[原创] 史上最全数字EDA tool安装指南 attach_img  ...23456 shuanghx 2012-12-13 5620944 hanggq 2023-10-19 11:07
[原创] dw_iip_axi_2014.10a attachment  ...23456..7 ra3d 2015-3-6 6924571 yl5495 2023-10-18 17:28
[原创] scan and ATPG process guide (Mentor) attachment  ...2345 yohuang 2010-6-25 4018046 yes123168 2023-10-18 10:29
[原创] Extracted Timing Model (ETM) Generation and Validation attachment  ...2 wujie828 2013-11-26 147266 tellingstory 2023-10-17 07:56
悬赏 [原创] Ansys PowerArtist 2021 or newer Request - [悬赏 1000 信元资产] smn1380 2023-10-10 1598 kaddy_zhu 2023-10-10 08:05
[原创] 谁有试用ip linuxsina 2023-10-4 1503 linuxsina 2023-10-9 20:23
[原创] synopsys memory compiler 软件 embed-it integrator求助 新人帖 yudaming 2023-10-7 2572 eda2019 2023-10-7 19:37
[原创] StarRC™ User Guide and Command Reference Version M-2016.12-SP2 attachment  ...2 何军民 2021-8-3 114500 tracy6969 2023-9-29 06:31
[原创] MIPI CD-PHY v1.2/v2.1 RX 3T/4L attach_img  ...23 iamtony 2022-2-4 253877 花心刺猬 2023-9-28 12:54
[原创] 自写备份:修setup/hold/tran的tcl脚本(仅供参考,慎用) attachment  ...2 九漏鱼 2021-7-1 174209 Lavender888 2023-9-27 16:13
[原创] innnovus 181 lab & lab guide attachment  ...2 mipscache 2022-8-14 176051 hanggq 2023-9-23 17:02
[原创] pts_vP-2019.03-SP2 下载 attach_img  ...23456 阎浮提 2019-7-2 5014505 markman 2023-9-22 21:22
[原创] shell基础教程 attachment stefenxp 2023-5-10 51358 小波波墩墩 2023-9-13 11:35
[原创] 数字IC时钟树综合(clock tree synthesis)专家必备 attach_img  ...23 seuxiaoj 2019-9-24 2427347 yuanpin318 2023-9-12 00:43
[原创] Chinese 天线理论-分析与设计(Balanis)上册.PDF attachment  ...23 saleh45 2013-5-16 228011 my16821 2023-9-6 08:47
[原创] lefdeflib简要概括 新人帖 attachment zyr123 2021-7-23 31637 xiuqi180 2023-9-5 09:29
[原创] layout版图方面的一些资料,很宝贵哦,精品!!! attachment  ...2 zhai1618 2013-5-10 174087 caojiangcheng 2023-9-4 17:05
[原创] 求redhawk2021R1.1和谐方法 attach_img netelf 2023-1-11 81628 LuckyEDAZ 2023-8-25 22:10
[原创] Synopsys DC命令全集解释 attachment  ...23456..25 zzz123456789 2010-7-5 24142319 cmmjava 2023-8-23 01:12
[原创] 谢谢关注,已删贴  ...2 seulzren 2023-8-8 141175 bin_bingo 2023-8-22 13:29
[原创] deleted post kahboone 2023-8-18 0499 kahboone 2023-8-18 09:04
[原创] USB3.1SSP+/DP Alt PHY 特点和描述 attach_img  ...23 kahboone 2022-6-8 202865 Billmtk 2023-8-13 18:10
[原创] TetraMAX_2017.09 Workshop Lab attachment 何军民 2021-5-21 62350 lyt8650 2023-7-26 10:14
[原创] 2017最新版Cadence JLS162 LIBERATE161 PVS152 TEMPUS VOLTUS CONFRML MODUS attach_img  ...23456..8 GarryTse 2017-5-5 7636878 花溅泪 2023-7-22 23:09
[原创] [原创]65nm以下工艺,后端设计的注意事项  ...23456..12 jiancongwoo 2010-9-7 11245083 dfhappyforever 2023-7-19 15:22
[原创] XRC报错 A大趴菜 2023-7-19 0562 A大趴菜 2023-7-19 10:36
[原创] 天线效应的详细资料 attachment  ...2345 zhai1618 2013-5-10 4511267 vangce 2023-7-14 14:38
[原创] P&R之后的时序分析方法 attachment  ...2345 oceanwangchao 2013-7-3 4213280 KUAILEMAN 2023-7-7 22:14
[原创] DC Explorer User Guide Version M-2016.12 attachment 何军民 2021-8-3 52287 cmmjava 2023-7-6 16:33
[原创] IDDQPro cliff123 2023-7-6 0537 cliff123 2023-7-6 11:26
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-14 07:44 , Processed in 0.022942 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块