在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (126) |订阅

后端讨论区 今日: 8 |主题: 20921|排名: 8 

[解决] encounter中welltap与IP block Overlap问题 yuli5201 2015-1-13 32615 yuli5201 2015-1-14 17:07
[解决] operating condition 怎么影响时序 zero_0 2014-4-9 62604 jlglpk 2014-4-10 14:29
[解决] 【已解决】如何能将自己设计的LVDS接收器layout及schematic转为DC能识别的.lib sofa_B 2020-12-18 72627 李艳小瓶子 2023-8-2 09:47
[解决] IP调用问题 豆沙包 2014-8-11 52557 starrymorn 2014-9-23 15:58
[解决] rhel6.5 IC5141启动时出错解决办法 attach_img pctanyicheng 2015-2-2 22602 schwang 2015-3-22 11:01
[解决] tie-hi, tie-low线长要求【已解决】 ywlloo 2015-4-7 32581 xingyun666666 2018-2-13 13:23
[解决] 【已解决】有关ICC power domain 的问题 ershier86 2015-7-25 72493 mangotango 2015-8-13 13:07
[解决] 关于绘制原理图时引脚闲置处理的问题 attach_img xiaose135 2013-8-28 12501 xiaose135 2013-8-28 10:40
[解决] 怎么生成不平衡的时钟树? 284294022 2014-2-13 52510 xingyun666666 2016-9-27 20:02
[解决] partition top如何整合分析时序 kingnan 2014-3-27 72458 icfbicfb 2014-5-24 09:27
[解决] 虚拟机上网设置 hattic 2010-12-2 42456 soelife 2012-7-22 12:01
[解决] optdesign attach_img lbbsky 2014-8-22 42456 joemool 2014-8-28 17:39
[解决] 使用liberate151 K库的问题【已解决】 why222 2022-3-5 82418 18566231091 2023-11-30 11:28
[解决] innovus的网表导出的schematic不存在filler,怎么解决? 新人帖 gariel_lin 2021-1-13 92382 gariel_lin 2021-1-18 10:37
[解决] 请教关于 set_operating_conditions -library[已解决] 995331220 2013-5-2 32371 995331220 2013-5-2 14:29
[解决] Calibre LVS - DATABASE EXTENT 数值是怎么定义的,通过什么获得的? qonish 2016-6-21 12323 qonish 2016-6-21 19:10
[解决] 启动Astro出现的问题 ylduan_syn 2010-12-31 02322 ylduan_syn 2010-12-31 19:57
[解决] 关于lib和lef库中的cell和cell lef文件中 rect的问题【已解决】 attach_img 522526tl 2014-12-1 12247 蠕动的蜗牛 2020-11-4 11:20
[解决] lef里面pin的面积有要求吗? 284294022 2013-6-30 22263 284294022 2013-6-30 23:35
[解决] 各位朋友,在encounter中,为什么有两个pin无法布线~[已解决] 西游人 2014-9-14 62264 xylyc521 2014-9-24 20:16
[解决] ICC 中如何进行 analog power 定义和连接 defflin 2012-12-17 52298 defflin 2012-12-17 16:43
悬赏 [解决] ICC2 指定边界处的welltap类型 - [悬赏 50 信元资产] tonyl 2019-12-27 32255 tonyl 2019-12-28 10:28
[解决] 综合出来的网表,可以用pt fix_eco_leakage 优化功耗么? 995331220 2014-3-17 12233 995331220 2014-3-18 09:57
悬赏 [解决] ROM、RAM、DRAM、SRAM、SDRM,FLASH咨询 - [悬赏 10 信元资产] duanli112 2015-5-15 02270 duanli112 2015-5-15 11:20
[解决] 【解决】求助,encounter加inst的问题 284294022 2014-7-17 52226 lggod24697 2014-7-28 15:14
[解决] ICC导入DC网表后有一个port连接关系丢失 hitmic 2013-10-29 22246 hitmic 2013-10-31 15:16
[解决] milkyway 导入不了LEF attach_img michele_yan 2014-12-17 52192 花开半夏1990 2017-4-9 09:28
[解决] 【已解决】EDI tcl命令返回值,HELP,HELP bsbs525 2014-8-6 32154 bsbs525 2014-8-7 17:12
[解决] 【请教】关于这个DRC错误 attach_img stone101 2013-7-23 42202 举个栗子 2023-6-21 14:30
[解决] 【已解决!】关于PT中size_cell后report_timing无反应的问题! chenniao 2017-5-17 32144 xiaoyisimonguo 2017-5-18 12:58
[解决] 【已解决】M2 power rail 电源网络的问题 ershier86 2015-7-30 22060 ershier86 2015-7-30 16:52
[解决] milkyway bpv 时text转换pin失败 hitmic 2013-6-7 22129 hitmic 2013-6-7 12:01
[解决] read spef error 问题 wlmwxm 2020-6-4 02088 wlmwxm 2020-6-4 16:58
[解决] 【已解决】ATPG run drc时trace 0 cells error 求助 新人帖 hmuing 2022-4-26 72079 hamandu 2022-4-27 10:07
[解决] DC综合中遇到一个Error,找不出原因。 新人帖 xiaopet 2022-7-20 62042 fangwang85 2023-4-14 17:28
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-7 11:17 , Processed in 0.018325 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块