在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: scutlee

[讨论] clk mux怎么约束

[复制链接]
发表于 2024-9-3 16:56:22 | 显示全部楼层


upsidedown 发表于 2024-9-3 16:45
在mux输出设置互斥就要在mux输出端创建时钟。在源头创建时钟就直接对两个group设置互斥。
...


在mux输出端再设置两个源头时钟的generated clock
发表于 2024-9-3 17:09:31 | 显示全部楼层


flyskyseu 发表于 2024-9-3 16:56
在mux输出端再设置两个源头时钟的generated clock


可以 但这就是冗余操作
发表于 2024-9-4 19:20:21 | 显示全部楼层
数据那端不创时钟就行了啊
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 11:25 , Processed in 0.014397 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表