在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 496|回复: 12

[讨论] clk mux怎么约束

[复制链接]
发表于 2024-8-15 10:05:47 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

                               
登录/注册后可看大图


假如C1,C2只有一个是clk那该如何约束???
另外有一个控制信号来决定C1和C2哪个是时钟信号?
发表于 2024-8-16 10:11:09 | 显示全部楼层
C1 C2为什么只有一个是clk
 楼主| 发表于 2024-8-16 14:35:27 | 显示全部楼层


任大大 发表于 2024-8-16 10:11
C1 C2为什么只有一个是clk


port复用,端口哪个是clk哪个是data是不确定的,但输出是确定的。
发表于 2024-8-16 17:07:21 | 显示全部楼层


scutlee 发表于 2024-8-16 14:35
port复用,端口哪个是clk哪个是data是不确定的,但输出是确定的。


当reg的CK端都是要约束的

 楼主| 发表于 2024-8-19 11:03:10 | 显示全部楼层


任大大 发表于 2024-8-16 17:07
当reg的CK端都是要约束的


那我是要create 两个clock?
发表于 2024-8-19 14:02:42 | 显示全部楼层


scutlee 发表于 2024-8-19 11:03
那我是要create 两个clock?


还是不清楚你的设计是什么样的,
发表于 2024-8-19 14:09:24 | 显示全部楼层
只有一个是时钟?另外一个是数据??  
发表于 2024-9-3 16:01:00 | 显示全部楼层
意思应该是两个pad,有可能pad1是时钟,pad2是数据,也可能pad1是数据,pad2是时钟?可以按照图上示例进行约束,创建两个波形一样的时钟并设逻辑互斥(如果对mux的sel设置set_case_analysis(1或者0都可以)可以提高综合速度)。如果mux前面的没有被驱动的其他cell也可以在mux输出pin创建一个时钟。
发表于 2024-9-3 16:23:45 | 显示全部楼层
在两个源头分别create一个clock,然后在mux输出端set_clock_groups -physically_exclusive
发表于 2024-9-3 16:45:44 | 显示全部楼层


flyskyseu 发表于 2024-9-3 16:23
在两个源头分别create一个clock,然后在mux输出端set_clock_groups -physically_exclusive


在mux输出设置互斥就要在mux输出端创建时钟。在源头创建时钟就直接对两个group设置互斥。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 11:20 , Processed in 0.024310 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表