在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1601|回复: 4

[求助] uvm_component parent =null指的是父一级是uvm_top吗?

[复制链接]
发表于 2022-11-17 16:40:13 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
今天在看uvm_top和uvm_test_top中看到了如下链接,里面说如果组件的parent是null,那么该组件为uvm_top的子组件。
1-uvm_root, uvm_top, uvm_test_top - _见贤_思齐 - 博客园 (cnblogs.com)
但是我在看《UVM实战》中,里面为scoreboard的定义如下:

class my_scoreboard extends uvm_scoreboard;
   my_transaction  expect_queue[$];
   uvm_blocking_get_port #(my_transaction)  exp_port;
   uvm_blocking_get_port #(my_transaction)  act_port;
   `uvm_component_utils(my_scoreboard)


   extern function new(string name, uvm_component parent = null);
   extern virtual function void build_phase(uvm_phase phase);
   extern virtual task main_phase(uvm_phase phase);
endclass


我想问scoreboard不是uvm_test_top下面的吗?为什么它的parent也是null啊?
求大神解答!!万分感谢!!!
发表于 2022-11-17 17:05:02 | 显示全部楼层
这个 null 是 function 参数的缺省值的,就是说调用构造函数 new没有给 parent 参数就会使用这个 null,如果有参数就会替代掉null。一般在实例化 scoreboard的时候都会有这个参数
 楼主| 发表于 2022-11-17 17:21:32 | 显示全部楼层


3557778 发表于 2022-11-17 17:05
这个 null 是 function 参数的缺省值的,就是说调用构造函数 new没有给 parent 参数就会使用这个 null,如 ...


谢谢指点!!我还想再问一下,所以这个null和父一级是什么没关系吗?

发表于 2022-11-18 08:34:29 | 显示全部楼层
没关系
 楼主| 发表于 2022-11-18 09:04:49 | 显示全部楼层


太感谢了!!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-23 15:33 , Processed in 0.018139 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表