在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1281|回复: 0

[原创] STA--set timing_remove_clock_reconvergence_pessimism

[复制链接]
发表于 2022-11-6 10:57:10 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
DESCRIPTION
       When this variable is true (the default), the tool removes clock recon-
       vergence pessimism from  slack  calculation  and  minimum  pulse  width
       checks.

       Clock  reconvergence pessimism (CRP) is a difference in delay along the
       common part of the launching and capturing clock paths.  The most  com-
       mon causes of CRP are reconvergent paths in the clock network, and dif-
       ferent min and max delay of cells in the clock network.

       CRP is independently calculated for rise and fall clock paths.  You can
       use  the  timing_clock_reconvergence_pessimism  variable to control CRP
       calculation with respect to transition sense.  In the case of the  cap-
       turing  device  being a level-sensitive latch two CRP values are calcu-
       lated:
       o crp_open, which is the CRP corresponding to the opening edge  of  the
         latch
       o  crp_close, which is the CRP corresponding to the closing edge of the
         latch

       The required time at the latch is increased by the  value  of  crp_open
       and  therefore  reduce  the  amount of borrowing (if any) at the latch.
       Meanwhile, the maximum time borrow allowed at the latch is affected  by
       shifting the closing edge by crp_close.

       CRP  is  calculated  differently for minimum pulse-width checks.  It is
       given as the minimum of (maximum  rise  arrival  time  -  minimum  rise
       arrival  time)  and  (maximum  fall arrival time - minimum fall arrival
       time) at the pin where the check is being made.

       If the si_enable analysis variable is set to true, delays in the  clock
       network could also include delta delays resulting from crosstalk inter-
       action. Such delays are dynamic in nature, that is, they can vary  from
       one clock cycle to the next, causing different delay variations (either
       speed-up or slow-down) on the same network, but during different  clock
       cycles.

       PrimeTime SI considers delta delays as part of the CRP calculation only
       if the type of timing check deployed derives its  data  from  the  same
       clock cycle.

       Similarly,  if  dynamic  annotations  have  been set on the design, the
       clock delays computed using these annotations are only used  to  calcu-
       late  CRP  if  type  of timing check deployed derives its data from the
       same clock cycle.   Such  dynamic  annotations  include  dynamic  clock
       latency,  which can be specified with the set_clock_latency command, or
       dynamic rail voltage, which can be specified with the  set_rail_voltage
       command.

       In   transparent-latch   based   designs,   you  should  set  the  tim-
       ing_early_launch_at_borrowing_latches  variable  to  false  when  clock
       reconvergence  pessimism  removal (CRPR) is enabled. In this case, CRPR
       applies even to paths whose startpoints are borrowing, leading to  bet-
       ter pessimism reduction overall.

       Any  effective  change in the setting of the timing_remove_clock_recon-
       vergence_pessimism variable causes full update_timing.  You cannot per-
       form  one  report_timing operation that considers CRP and one that does
       not without full update_timing in between.

       Limitations: CRPR does not support paths that  fan  out  directly  from
       clock  source  pins  to  the data pins of sequential devices. To enable
       support for such paths,  set  the  timing_crpr_remove_clock_to_data_crp
       variable to true.

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-8 12:01 , Processed in 0.016912 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表