在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2012|回复: 7

[求助] UVM求助:在sequence中使用vif

[复制链接]
发表于 2022-4-16 15:48:00 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我想在DUT输出中断信号int跳变时启动一组sequence,跳变是不定时的,因此想在sequence中敏感vif.int的上升沿,在sequence中声明了virtual if,并使用config_db将m_config传了进来,编译未报错,在运行仿真时报错如下:Error: uninitialized virtual interface object



请问:
1.在sequence中能否使用vif?若能应该怎么操作?
2.有无其他方法达到目的?
发表于 2022-4-16 17:23:09 | 显示全部楼层
是不是你的vif没有连接到实体的if上去?
 楼主| 发表于 2022-4-16 17:59:02 | 显示全部楼层


飞翔的马甲 发表于 2022-4-16 17:23
是不是你的vif没有连接到实体的if上去?


在top_tb中连接到了DUT的接口,这样可以吗?
发表于 2022-4-16 19:25:36 | 显示全部楼层
sequence是动态的,并不是继承于uvm_component的,所以没办法像component一样在build phase中进行连接;
1. 所以要想是sequence中使用vif应该是在sequencer中声明 virtual interface vif,然后build phase 下config_db。
2. 然后在sequence中用`uvm_declare_p_sequencer()声明p_sequencer,然后就可以使用p_sequencer.vif获得vif了
发表于 2022-4-16 19:26:30 | 显示全部楼层


Pu1se 发表于 2022-4-16 19:25
sequence是动态的,并不是继承于uvm_component的,所以没办法像component一样在build phase中进行连接;
1. ...


示例可以看这个:
https://forums.accellera.org/top ... erface-in-sequence/
发表于 2022-4-18 09:58:43 | 显示全部楼层
config_db传递本身大概率就有问题,config_db传递编译没报错不能代表本身没错,sequence不是一直存在的,一般不能直接在sequence中例化,实际在sequence中使用这类都是楼上这位说的那样。
 楼主| 发表于 2022-4-19 11:33:35 | 显示全部楼层


Pu1se 发表于 2022-4-16 19:26
示例可以看这个:
https://forums.accellera.org/topic/4755-how-to-get-virtual-interface-in-sequence/ ...


好的,感谢,可以解决
 楼主| 发表于 2022-4-19 11:34:22 | 显示全部楼层


fengzhiyong123 发表于 2022-4-18 09:58
config_db传递本身大概率就有问题,config_db传递编译没报错不能代表本身没错,sequence不是一直存在的,一 ...


多谢指点
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-23 09:34 , Processed in 0.023896 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表